Welcome![Sign In][Sign Up]
Location:
Search - ledflower

Search list

[VHDL-FPGA-Verilogledflower

Description: 1.跑马灯设计 设计要求:控制8个LED进行花式显示,设计4种显示模式:S0,从左到右逐个点亮LED;S1,从右到左逐个点亮LED;S2,从两边到中间逐个点亮LED;S3,从中间到两边逐个点亮LED。4种模式循环切换,复位键(rst)控制系统的运行与停止- 1. runs the lantern to design <dnt> the </dnt> design requirements: Controls 8 LED to carry on the floral formula to demonstrate that designs 4 kind of display modes: S0, lightens LED one by one from left to right S1, lightens LED one by one from right to left S2, lightens LED one by one from two to among S3, lightens LED one by one from among to two nearby. 4 kind of pattern circulation cut, repositions the key (rst) control system s movement and the stop. Runs lantern s phase transition chart like chart to sh
Platform: | Size: 235520 | Author: 雷风 | Hits:

CodeBus www.codebus.net