Welcome![Sign In][Sign Up]
Location:
Search - opencores ADC0809

Search list

[VHDL-FPGA-VerilogADC0809

Description: 基于VHDL语言,实现对ADC0809简单控制。ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟-Based on VHDL language, to achieve simple control of ADC0809. ADC0809 no internal clock, an external 10KHz ~ 1290Hz clock signal, where the FPGA system clock (50MHz) divided by 256 get clk1 (195KHz) as the conversion clock ADC0809
Platform: | Size: 410624 | Author: 李维 | Hits:

CodeBus www.codebus.net