Welcome![Sign In][Sign Up]
Location:
Search - ps2 keyboard code

Search list

[SCMPS2键盘驱动程序 PS2_KEYBOARD

Description: 基于AVR系列单片机MEGA8的PS2键盘驱动程序,代码中加了去抖动处理,识别率高。 -based MCUs MEGA8 PS2 keyboard drivers, code added to jitter, high recognition rate.
Platform: | Size: 34816 | Author: 晏昌平 | Hits:

[Technology Management第二套扫描码(ps2)

Description: Scan Code Set 2 - Default scan code set for all modern keyboards 第二套扫描码集--所有现代键盘默认的扫描码集-Scan Code Set 2-Default scan code set for all modern keyboards second scan code sets-- all modern default keyboard scan code sets
Platform: | Size: 253952 | Author: wang | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: PS2键盘控制程序实验的内容是用EDK建一个简单的系统并加入自定义的外设(一个ps2键盘控制器) 当键盘按下时会有相应的键扫描码输出显示到PC终端 -PS2 keyboard to control the content of the experimental procedure is used EDK build a simple system and add custom peripherals (a ps2 keyboard controller) when the keyboard is pressed the corresponding button will scan code to the PC terminal output shows
Platform: | Size: 5120 | Author: 刘安 | Hits:

[SCMps2

Description: 利用ps2键盘向单片机输入数据,这样做不仅可以减少输入输出口,而且程序代码短小精悍,可以极大的提高单片机的执行效率.-Ps2 keyboard to use single-chip input data, to do so not only can reduce the input and output port, and program code dapper, you can greatly improve efficiency in the implementation of SCM.
Platform: | Size: 1024 | Author: 照明还 | Hits:

[SCMps2

Description: 基于8051单片机的PS2键盘的C语言代码。-8051 Based on the PS2 keyboard C language code.
Platform: | Size: 3072 | Author: 阮兆文 | Hits:

[assembly languagekeyboard

Description: 实现的模拟键盘代码,模拟ps2协议,可以与计算机相连实现键盘的功能,在keil c下开发的。-89s51 achieved through keyboard simulation code, simulation ps2 agreement with the computer keyboard connected to the functions of the c Keil under development-Realize analog keyboard code, analog ps2 agreement, can realize the computer connected to the keyboard functions in keil c developed.-89s51 achieved through keyboard simulation code, simulation ps2 agreement with the computer keyboard connected to the functions of the c Keil under development
Platform: | Size: 3072 | Author: | Hits:

[VHDL-FPGA-VerilogkeyboardScan

Description: PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。-PS2 keyboard scan code interception interface circuit, VHDL procedures. The program can capture PS2 keyboard press the keys values and scan codes into ASCII code.
Platform: | Size: 1024 | Author: 宁新 | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: ps2 keyboard verilog源代码,支持ascii码.扫描码输出,扩展键输出,按下及释放信息输出-ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 5120 | Author: 李志刚 | Hits:

[SCMps2+lcm1602

Description: WINAVR编写的PS2键盘驱动,跟1602显示键盘扫描码的程序-WINAVR prepared PS2 keyboard-driven, with the 1602 show the keyboard scan code of procedure
Platform: | Size: 98304 | Author: 陈国健 | Hits:

[SCMSource

Description: PS2键盘实验源代码,Verilog语言编写-PS2 keyboard experiment source code, Verilog language
Platform: | Size: 3072 | Author: zhan | Hits:

[Embeded-SCM DevelopPS2Keyboard-CODE

Description: PS2键盘的单片机读取程序代码,单片机相应引脚与PS2连接,运行后,显示屏上显示按键,可以接条形码扫码器,调试通过-PS2 keyboard microcontroller to read program code, microcontroller pin corresponding with PS2 connectivity, running, display button, you can access codec sweep bar code, debugging through
Platform: | Size: 130048 | Author: freesl | Hits:

[SCM104keyPS2_program(C)

Description: 104键PS2接口标准键盘程序(C语言)单片机程序例程-104 key PS2 keyboard interface standard procedures (C language) Singlechip routine procedures
Platform: | Size: 23552 | Author: 刘长利 | Hits:

[SCMPS2

Description: 适合51,AVR,ARM单片机使用的PS2键盘源代码。欢迎下载,有问题可发联系我。-Suitable for 51, AVR, ARM Singlechip PS2 keyboard use the source code. Welcome to download, there are problems I can send links.
Platform: | Size: 24576 | Author: 陈英忠 | Hits:

[SCMPS2

Description: MSP 430 PC键盘通讯PS/2代码-MSP 430 PC keyboard communications PS/2 code
Platform: | Size: 98304 | Author: 梁信 | Hits:

[SCMps2

Description: 单片机与键盘接口程序,C代码,简单好实用。-SCM and the keyboard interface program, C code, a simple and practical good.
Platform: | Size: 90112 | Author: 张展睿 | Hits:

[SCMkeyboard

Description: 嵌入式键盘,wince下可热插拔,at89s52 模拟ps2键盘,第二套扫描码-Embedded keyboard, wince under the hot-swappable, at89s52 simulation ps2 keyboard, the second scan code
Platform: | Size: 685056 | Author: 尚淮 | Hits:

[SCMPS2_command_keyboard

Description: PS2 Keyboard control C language source code
Platform: | Size: 39936 | Author: richman | Hits:

[Embeded-SCM Developps2

Description: 用单片机pic读取ps2键盘或鼠标的通码断码或读取鼠标的数据-Single-chip pic with ps2 keyboard or mouse to read the code or pass code off the mouse to read the data
Platform: | Size: 2048 | Author: dxeudwcw | Hits:

[Other Embeded programps2-keyboard

Description: 本程序用于读出PS2键盘的码值并显示在1602显示器上。调试成功。可以移植在51单片机上。-This procedure is used to read out the code value of PS2 keyboard and display monitor in 1602. Debugging success. SCM can be transplanted in 51.
Platform: | Size: 19456 | Author: liqiang | Hits:

[VHDL-FPGA-Verilogps2

Description: 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in
Platform: | Size: 8153088 | Author: 蹇清平 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net