Welcome![Sign In][Sign Up]
Location:
Search - quartus ii 10.0

Search list

[Other resourceczcjjq

Description: 使用Quartus II设计并制作一台出租车计价器不同情况具有不同的收费标准行驶公里: 在行车三千米以内时,按起步价10元收费,超过3千米部分,以每千米1.6元计算。 l 途中等待(>2min 开始收费) 在等待时间小于2分钟以内时不收取额外费用,大于2分钟,按每分钟1.5元计算。
Platform: | Size: 69262 | Author: yingzhua | Hits:

[Special Effectsvideofram

Description: 用CPLD控制图像卡进行帧存逻辑的verilog程序,用Quartus II 5.0打开-with CPLD control image frame buffer cards logical verilog procedures, Quartus II 5.0 Open
Platform: | Size: 1024 | Author: 陈刚峰 | Hits:

[VHDL-FPGA-Verilog656to601

Description: 本程序实现视频图象的CCIR656转换CCIR601格式,使用的环境是Quartus II 4.0-the program CCIR656 video image conversion CCIR601 format, The environment is the use of Quartus II 4.0
Platform: | Size: 564224 | Author: 吉克 | Hits:

[Software Engineeringmycpu

Description: Quartus II 5.0下写的一个单总线架构的CPU设计,包括控制器、运算器、译码电路等。模拟的时钟脉冲也给出。已经通过Quartus II 5.0运行。可以给需要设计总线架构CPU的同学一点参考。-Quartus II 5.0 written under a single bus architecture of the CPU design, including controllers, computing devices, such as decoding circuitry. Simulated clock pulse is also given. Has been run through the Quartus II 5.0. Can be addressed to the need to design bus architecture students CPU reference point.
Platform: | Size: 800768 | Author: 陈佳 | Hits:

[Other Embeded programVBuffer.1.1

Description: 视频采集,存储,发送的VERILOG源程序; QUARTUS II 6.0调试通过。-Video capture, store, send the Verilog source code QUARTUS II 6.0 debug through.
Platform: | Size: 4146176 | Author: yan | Hits:

[Other4_in_1

Description: 骏龙提供的最新quartus8.0的license,包括Quartus II 8.0,NIOS II 8.0(在Quartus II的license里面),DSP Builde 8.0,ModelSim-Altera 6.1g (Quartus II 8.0),新Quartus II的license支持远程桌面访问的功能。-Cytech latest quartus8.0 the license, including the Quartus II 8.0, NIOS II 8.0 (in the Quartus II
Platform: | Size: 332800 | Author: 王网 | Hits:

[VHDL-FPGA-Verilogsin

Description: 基于Quartus II 5.0编写的正弦波发生器,可控频率,用vhdl编写的-Quartus II 5.0 on the preparation of the sine wave generator, controllable frequency, prepared using VHDL
Platform: | Size: 475136 | Author: uuk | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用状态机对A/D转换器0809的采样控制电路的实现。工具:Quartus ii 6.0 语言:VHDL-State machine used for A/D converter sampling control circuit 0809 is achieved. Tools: Quartus ii 6.0 Language: VHDL
Platform: | Size: 46080 | Author: 杨晴飞 | Hits:

[VHDL-FPGA-VerilogThe-Duck

Description: Crack for Quartus II 8.0
Platform: | Size: 764928 | Author: FPGABug | Hits:

[OtherCrack_QII90_SP2

Description: Quartus II 9.0 SP2 破解-crack for Quartus II 9.0 SP2
Platform: | Size: 14336 | Author: 胡文静 | Hits:

[OtherCrack_patch_license

Description: Quartus II 6.0 破解补丁和license设置-Quartus II 6.0 crack patch and license settings
Platform: | Size: 5120 | Author: jjx | Hits:

[VHDL-FPGA-VerilogsdramtEST

Description: sdram动态存储器测试的源文件工程,Quartus II 9.0 (32-Bit)版本。-sdram TEST
Platform: | Size: 3500032 | Author: luyi | Hits:

[OtherCrack_QII10.0_x86

Description: Quartus II 10.0_x86版破解补丁,此补丁在Windows XP和Windows 7的32/64位操作系统下都验证过了,都能使软件流畅运行-Quartus II 10.0_x86 Edition crack patch, this patch in Windows XP and Windows 7, 32/64 bit operating systems are verified, and can make the software run smoothly
Platform: | Size: 14336 | Author: ewgfmg | Hits:

[Linux-Unixquartus10.0

Description: 破解quartus10.0,里面的可以破解的,支持两个平台,windows&linux。本破解文件仅供学习使用,如用于商业开发,请从官方获取。-Crack quartus10.0, which can be cracked, and support both platforms, windows & linux. Learning to use the crack file only, such as for commercial development, from the official access.
Platform: | Size: 1767424 | Author: 张龙 | Hits:

[VHDL-FPGA-Verilogquartus10.0-crack

Description: quartus10.0破解文件#用于Quartus II 10.0 : #将sys_cpt.dll覆盖掉安装目录即可。 #把license.dat里的XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II 10的Tools菜单下选择License Setup,下面就有NIC ID)。 #在Quartus II 10的Tools菜单下选择License Setup,然后选择License file,最后点击OK。 #注意:license文件存放的路径名称不能包含汉字和空格,空格可以用下划线代替。 #仅限于学习 -quartus10.0 crack
Platform: | Size: 335872 | Author: geyunda | Hits:

[VHDL-FPGA-Verilogcrack

Description: Altera Quartus II 10.1最新破解文件,本人一直独家专用,X86和X64都有。-Altera Quartus II 10.1 latest crack file, I have been exclusively dedicated, X86 and X64 have.
Platform: | Size: 769024 | Author: sunnic-atom | Hits:

[File FormatQuartus-II--Handbook-Version-11.0

Description: Quartus II 技术手册,详细说明quartus使用时注意事项-Quartus II Handbook Version 11.0
Platform: | Size: 6365184 | Author: railway | Hits:

[VHDL-FPGA-VerilogQIIv11.0_all_dll.ZIP

Description: dll for quartus ii 11.0
Platform: | Size: 1819648 | Author: Sergey | Hits:

[VHDL-FPGA-Verilogeetop.cn_quartus_ii_11.0_sp1_patched_sys_cpt_dll.

Description: dll for quartus ii 11.0 windows
Platform: | Size: 973824 | Author: Sergey | Hits:

[OtherQuartus-II-Handbook11.0

Description: Quartus II 用户指南,非常有用的入门资料-Quartus II Handbook11.0
Platform: | Size: 6365184 | Author: 瑾琨 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 38 »

CodeBus www.codebus.net