Welcome![Sign In][Sign Up]
Location:
Search - rs485 veril

Search list

[SCMRS485

Description: 用VERILOG语言写的RS485通信程序,经调试可以直接使用-Verilog language used to write the RS485 communication program, the debugger can be used directly
Platform: | Size: 653312 | Author: 李俭 | Hits:

[VHDL-FPGA-VerilogVHDLRS232_RS422

Description: VHDL写的RS232和RS485通信代码,很基础的一个工具-VHDL written RS232 and RS485 communication code, it is a tool based on
Platform: | Size: 583680 | Author: dvp | Hits:

CodeBus www.codebus.net