Welcome![Sign In][Sign Up]
Location:
Search - sd card reader verilog

Search list

[VHDL-FPGA-VerilogSD_W_R

Description: SD卡读写源代码.用Verilog编写.很不错.值得借鉴.特别对SD卡开发的人员!-SD card reader-writer source code. Prepared to use Verilog. Is pretty good. Be used for reference. In particular, the development of personnel SD card!
Platform: | Size: 4096 | Author: ZZ | Hits:

[VHDL-FPGA-VerilogDE2_SD_Card_Audio

Description: SD卡读取音频数据,由VGA显示。Verilog HDL语言编写,适用DE2实验箱-SD card reader audio data from the VGA display. Verilog HDL language, the application of the experimental box DE2
Platform: | Size: 3072 | Author: 白雪 | Hits:

[VHDL-FPGA-VerilogSD_SPI

Description: 读写SD卡的Verilog程序,希望对大家有用!-SD card reader Verilog program, I hope useful for everyone!
Platform: | Size: 1133568 | Author: dean | Hits:

[VHDL-FPGA-VerilogCyclone4_SD_Card_Audio_Player

Description: 基于cyclone4 FPGA芯片的音频播放器完成项目工程,包括SOPC项目代码,以及SD卡读取模块Verilog IP,以及完整的Q2下项目工程。-Cyclone4 FPGA chip based audio player to complete the project works, including the SOPC project code, and SD card reader module IP, as well as complete Q2 next project.
Platform: | Size: 2372608 | Author: bankfly | Hits:

[Other Embeded programspimaster

Description: SD卡读写源代码.用Verilog编写.很不错.值得借鉴.特别对SD卡开发的人员!--SD card reader-writer source code. Prepared to use Verilog. Is pretty good. Be used for reference. In particular, the development of personnel SD card!
Platform: | Size: 2657280 | Author: 管洪炎 | Hits:

[VHDL-FPGA-Verilogsdcard_mass_storage_controller

Description: SD卡的读取,FPGA读取sd卡,用verilog语言编写-SD card reader-writer source code. Prepared to use Verilog. Is pretty good. Be used for reference. In particular, the development of personnel SD card!
Platform: | Size: 2339840 | Author: 管洪炎 | Hits:

[VHDL-FPGA-VerilogSD-verilog

Description: SD卡的读取,可以供大家参考。用Verilog语言编写的-SD card reader-writer source code. Prepared to use Verilog. Is pretty good. Be used for reference. In particular, the development of personnel SD card!
Platform: | Size: 26624 | Author: 管洪炎 | Hits:

[VHDL-FPGA-Verilogsd_ctrl

Description: Verilog写的基于FPGA的SD卡的读写程序,能够读出SD卡中存储的数据-Write Verilog FPGA-based SD card reader program, it is possible to read out the data stored in the SD card
Platform: | Size: 1653760 | Author: wangjiali | Hits:

[OtherSD

Description: verilog SPI模式下实现SD卡读写-SD card reader to achieve under verilog SPI mode
Platform: | Size: 6187008 | Author: liyan | Hits:

CodeBus www.codebus.net