Welcome![Sign In][Sign Up]
Location:
Search - sin Function vhdl

Search list

[VHDL-FPGA-Verilogsinfunction

Description: 用cordic算法实现超越函数,sin,cos用此方法也可以实现其他的sinhx,coshx,ex.代码用verilog编写-CORDIC algorithm with transcendental function, sin, cos by this method can also realize other sinhx, coshx, ex. Verilog code used to prepare
Platform: | Size: 236544 | Author: yu_leo | Hits:

[VHDL-FPGA-Verilogcustom_cordic

Description: verilog编程开发的cordic例程,计算SIN,COS功能与计算幅值角度功能可设定,运算宽度可设定,并有完善的TESTBENCH。-Verilog programming developed CORDIC routines to calculate SIN, COS function and calculating the amplitude of the perspective of function can be set, computing the width can be set, and perfect TESTBENCH.
Platform: | Size: 119808 | Author: yangyu | Hits:

[Algorithmcordic

Description: cordic methods describe essentially the same algorithm that with suitably chosen inputs can be used to calculate a whole range of scientific functions including sin, cos, tan, arctan, arcsin, arccos, sinh, cosh, tanh, arctanh, log, exp, square root and even multiply and divide. the method dates back to volder [1959], and due to its versatility and compactness, it made possible the microcoding of the hp35 pocket scientific calculator in 1972. here is some code to illustrate the techniques. ive split the methods into three parts linear, circular and hyperbolic. in the hp35 microcode these would be unified into one function (for space reasons). because the linear mode can perform multiply and divide, you only need add/subtract and shift to complete the implementation. you can select in the code whether to do the multiples and divides also by cordic means. other multiplies and divides are all powers of 2 (these dont count). to eliminate these too, would involve ieee hackery.-cordic methods describe essentially the same algorithm that with suitably chosen inputs can be used to calculate a whole range of scientific functions including sin, cos, tan, arctan, arcsin, arccos, sinh, cosh, tanh, arctanh, log, exp, square root and even multiply and divide. the method dates back to volder [1959], and due to its versatility and compactness, it made possible the microcoding of the hp35 pocket scientific calculator in 1972. here is some code to illustrate the techniques. ive split the methods into three parts linear, circular and hyperbolic. in the hp35 microcode these would be unified into one function (for space reasons). because the linear mode can perform multiply and divide, you only need add/subtract and shift to complete the implementation. you can select in the code whether to do the multiples and divides also by cordic means. other multiplies and divides are all powers of 2 (these dont count). to eliminate these too, would involve ieee hackery.
Platform: | Size: 2048 | Author: waqas | Hits:

[VHDL-FPGA-Verilogddfs

Description: vhdl编的dds函数发生器,完成sin(x)曲线的生成-vhdl function generator dds compiled to complete the sin (x) curve is generated
Platform: | Size: 91136 | Author: 王晓虎 | Hits:

[VHDL-FPGA-Verilogvhdl_source

Description: 函数发生器VHDL语言实现递增,递减锯齿波,方波,正弦波,阶梯波的实现-VHDL, function ,delta, sin, ladder ,isaw dsaw
Platform: | Size: 4096 | Author: 小花 | Hits:

[VHDL-FPGA-Verilogcordic1

Description: 该程序使用VHDL编程语言,利用cordic算法来计算cos,sin函数值-The program uses the VHDL programming language, use cordic algorithm to calculate cos, sin function value
Platform: | Size: 4096 | Author: 王丽 | Hits:

[VHDL-FPGA-Verilogsin

Description: 用vhdl语言编写的余弦函数,-Vhdl language with the cosine function. . . . . . . .
Platform: | Size: 1024 | Author: 老郑 | Hits:

[VHDL-FPGA-VerilogFPGA

Description: sin函数 交通灯 加法器的vhdl代码 自写,参考-sin function
Platform: | Size: 4096 | Author: | Hits:

[VHDL-FPGA-Verilogcordic

Description: 基于VHDL语言编写,可下载到FPGA板子上实现的cordic算法实现的设计,并用该算法实现sin和cos的计算,计算结果显示在数码显示管上,已包含按键防抖动功能的实现。-Based on VHDL language, can be downloaded to the the cordic algorithm implemented in the FPGA board to achieve the design and calculation of sin and cos using this algorithm, the results displayed on the digital display tube is included on the function of the realization of the button shake.
Platform: | Size: 5120 | Author: momo | Hits:

[Other Embeded programsin

Description: 这是一个基于vhdl编写的正弦信号发生器,实现的功能为发生正弦波,给dac 0832采样-This is a sine signal generator based on VHDL code, realize the function of sine wave, give dac 0832 samples
Platform: | Size: 318464 | Author: 薛冰 | Hits:

CodeBus www.codebus.net