Welcome![Sign In][Sign Up]
Location:
Search - sine wave quartus II

Search list

[VHDL-FPGA-Verilogbox

Description: 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。-VHDL language using FPGA-based waveform generator, using the procedures quartusII. 1602 liquid crystal display can show the current waveform types. Generated waveforms are sine wave, triangle wave, sawtooth and square wave.
Platform: | Size: 1708032 | Author: zhg | Hits:

[VHDL-FPGA-Verilogsin

Description: 基于Quartus II 5.0编写的正弦波发生器,可控频率,用vhdl编写的-Quartus II 5.0 on the preparation of the sine wave generator, controllable frequency, prepared using VHDL
Platform: | Size: 475136 | Author: uuk | Hits:

[VHDL-FPGA-Veriloglearn_dds

Description: 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置-Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used by their chip and pin set
Platform: | Size: 732160 | Author: 陈东旭 | Hits:

[VHDL-FPGA-VerilogVHDL_implementation_1KHz_sine_wave_generator

Description: 用VHDL实现1KHz正弦波发生器,编译器是Quartus II 5.4-1KHz sine wave generator using VHDL implementation, the compiler is a Quartus II 5.4
Platform: | Size: 187392 | Author: ken | Hits:

[VHDL-FPGA-VerilogDDS_100325(13)_success

Description: QUARTUS II环境下VHDL语言编写DDS程序,双数字信号输出,一为正弦波幅值输出,一正弦波差值信号。时钟2^21HZ,带24bits频率控制字。-QUARTUS II environment, VHDL language DDS program, two digital signal output, an amplitude for the sine wave output, a sine wave difference signal. Clock 2 ^ 21HZ, with 24bits frequency control word.
Platform: | Size: 1087488 | Author: 骆东君 | Hits:

[VHDL-FPGA-Verilogsinbo

Description: 基于quartus II的正弦波发生器,可调频率相位,用其时序仿真即可显示,分模块设计的。有sin。mif文件.-Based quartus II of the sine wave generator, adjustable frequency and phase, with the timing simulation can show that sub-module design. A sin. mif file.
Platform: | Size: 995328 | Author: liyu | Hits:

[VHDL-FPGA-VerilogDDS

Description: 这是一个dds产生方波、三角波、正弦波的代码。请用Quartus II打开-This is a dds produce square wave, triangle wave, sine wave code. Please open the Quartus II
Platform: | Size: 809984 | Author: kydchen | Hits:

[VHDL-FPGA-Verilogzheng_xian_bo

Description: 用Quartus II 9.0 产生正弦波的VHDL源代码。-Quartus II 9.0 VHDL source code of the sine wave.
Platform: | Size: 1900544 | Author: 天涯 | Hits:

[VHDL-FPGA-Verilogsin_rom(4wzh)

Description: 基于Quartus II 的信号发生器,通过定制LPM_ROM元件产生正弦波、方波、锯齿波、三角波,分频模块、频率控制模块、按键控制换波形、按键防抖-Quartus II-based signal generator generated by custom LPM_ROM component sine, square, sawtooth, triangle wave frequency module, frequency control module, button control for waveform button image stabilization
Platform: | Size: 2190336 | Author: 王伟 | Hits:

[CommunicationDDS

Description: 基于DDS原理,利用VHDL语言进行正弦波、三角波、锯齿波、矩形波等波形的发生。包括完整代码和QUARTUS II工程。-Based on DDS principle, the use of VHDL, sine, triangle, sawtooth, square wave waveform occurs. Including the complete code and QUARTUS II project.
Platform: | Size: 147456 | Author: chuangfen | Hits:

[VHDL-FPGA-Verilogsin_generator

Description: 基于QUARTUS ii的ROM的正弦方波锯齿信号发生器。-Sine square, wave saw and tooth signal generator based on ROM of QUARTUS II.
Platform: | Size: 2436096 | Author: | Hits:

CodeBus www.codebus.net