Welcome![Sign In][Sign Up]
Location:
Search - vending machines vhdl

Search list

[Otherautosale

Description: VHDL编写的自动售货机,带找零、退币功能,数字电路课程设计!内附常态图,和dofile波形模拟文件-VHDL prepared by the vending machines, have sought to bring, the coin features, digital circuit design courses! Enclosing normal map and document dofile waveform simulation
Platform: | Size: 3506 | Author: 张傻 | Hits:

[Other自动售货机VHDL程序与仿真

Description: 自动售货机VHDL程序与仿真,源码,具有很高的参考价值!-vending machines procedures and VHDL simulation source code, the high reference value!
Platform: | Size: 143711 | Author: 温暖感 | Hits:

[Other resourceCOLA

Description: 自动售货机 包含可调节输入输出 vhdl实现也可-vending machines include adjustable input and output VHDL can be achieved
Platform: | Size: 2500 | Author: 梁伟 | Hits:

[Develop Toolssj1

Description: 电子售货机的vhdl程序,用max-plus2编译,-electronic vending machines in vhdl procedures used max-plus2 compiler,
Platform: | Size: 91679 | Author: 木车 | Hits:

[Otherautosale

Description: VHDL编写的自动售货机,带找零、退币功能,数字电路课程设计!内附常态图,和dofile波形模拟文件-VHDL prepared by the vending machines, have sought to bring, the coin features, digital circuit design courses! Enclosing normal map and document dofile waveform simulation
Platform: | Size: 3072 | Author: 张傻 | Hits:

[VHDL-FPGA-Verilog自动售货机VHDL程序与仿真

Description: 自动售货机VHDL程序与仿真,源码,具有很高的参考价值!-vending machines procedures and VHDL simulation source code, the high reference value!
Platform: | Size: 143360 | Author: 温暖感 | Hits:

[VHDL-FPGA-VerilogVHDL3

Description: 这是一个自动售货机的vhdl源码,曾经是eda比赛的题目,供大家参考。-This is a vending machine in VHDL source code, the game had been sown topic, for your reference.
Platform: | Size: 534528 | Author: | Hits:

[VHDL-FPGA-VerilogAutomat

Description: 设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。-design a vending machine control procedures, it can slot into each one yuan, the two yuan, 5 billion there are provisions into one yuan or two yuan may re-enter after five yuan. When the input value equals or exceeds the set value (4), the vending machines will automatically send the goods and retrieve extra money.
Platform: | Size: 215040 | Author: | Hits:

[Bookssj1

Description: 电子售货机的vhdl程序,用max-plus2编译,-electronic vending machines in vhdl procedures used max-plus2 compiler,
Platform: | Size: 91136 | Author: 木车 | Hits:

[VHDL-FPGA-Verilogvhdl1

Description: VHDL经典案例源码 有至少20个经典案例,如:自动售货机,分频器-Classic case of VHDL source code at least 20 classic cases, such as: vending machines, prescaler
Platform: | Size: 168960 | Author: 卢卢 | Hits:

[VHDL-FPGA-Verilog61EDA_B288

Description: 自动售货机 带选择商品,找零,退币,无货提示,单价显示,选择数量等空能-Vending machines with choice of goods, give change back coins, no goods prompts, unit prices displayed, select the quantity of air can
Platform: | Size: 560128 | Author: 汪雷明 | Hits:

[VHDL-FPGA-Verilogditie

Description: 以一个完整的状态机来实现自动售票机的所有功能,这样设计较为方便 ,不用分片制作。 但缺点是实际功能会受到一些影响(器件选择上的问题)。 -To a complete state machine to realize automatic ticket vending machines all the features, so the design is more convenient, do not slice production. But the drawback is that the actual function will be some impact (device selection issues).
Platform: | Size: 16384 | Author: zsb | Hits:

[Otherzidongshouhuoji

Description: 某自动售货机售A,B,C3种商品,他们的价格分别为1,3,4。 售票机进接受一元硬币。售货机面板上设有投币孔和退钱建,每种商品标识处有选择按键,上有指示灯表明当前投币说是否已经足够选买该商品。-A vending machine to sell A, B, C3 kinds of merchandise, their prices were 1,3,4. Into the ticket vending machines to accept one-dollar coins. Vending machines with coin panel hole and refund built, each Department has logo merchandise selection button, the indicator light shows the current coin has said the election has been enough to buy the merchandise.
Platform: | Size: 1024 | Author: 孙尚龙 | Hits:

[VHDL-FPGA-Verilogautomat

Description: 自动售货机:1、通过开关选择购买6角或8角的邮票;2、按下购买键,开始购买;3、按相应键,输入1角、5角、1元硬币;4、当输入硬币总值大于等于欲买邮票价值时,相应邮票输出并找零(邮票输出,找零均用LED灯表示,找零也有1角、5角、1元三种)5、若想终止交易,可按退钱键,退出已输硬币,交易结束。-Vending machines: one, through the switch to choose to buy six cents or 8 corner stamps 2, press the buy button to start buying them 3, press the corresponding button, enter an angle, 5 angle, 1-dollar coin 4, when the input coins want to buy stamps value of greater than or equal value, the corresponding output and through irregular stamps (stamp output, Keep the change were to use LED lights that Keep the change also has an angle, 5 angle, 1 yuan of three) 5, If you want to terminate the transaction, can refund key, withdraw from the lost coins, the transaction ended.
Platform: | Size: 991232 | Author: duj | Hits:

[OtherVHDL-Vending-machine

Description: 用VHDL设计自动售货机,能实现自动找零的功能。-Vending machines with VHDL design, auto-change function can be realized.
Platform: | Size: 540672 | Author: 毛毛 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: VHDL下的自动售货机的源码和设计思路,希望给大家有一定的启发-VHDL source code under the vending machines and design ideas, want to give you a certain degree of inspiration
Platform: | Size: 14336 | Author: 陈大傻 | Hits:

[VHDL-FPGA-VerilogFPGA-based-design-vending-machines

Description: 本系统采用了Altera的开发软件的Quarts II。该软件平台进行数字电路设计和仿真的方法;阐述了VHDL(Very High Speed Integrated Circuit Hardware Description Language)语言的一些特点及语法结构;介绍了自动售货机系统的基本原理、系统组成和主要功能;并分析讨论了用VHDL语言开发自动售货机系统的设计流程。本设计利用Altera公司的开发软件Quartus II平台,采用VHDL硬件描述语言编程的设计方法设计系统核心电路的硬件程序,在Quartus II软件平台上进行编译和电路仿真,最后生成的目标文件下载到实验台内的FPGA芯片以实现该系统,并在实验台上对设计进行了验证。-FPGA-based design school vending machines
Platform: | Size: 218112 | Author: | Hits:

[VHDL-FPGA-VerilogVHDL-program-for-vending-machines

Description: 这是自动售货机的VHDL程序,能够实现自动售货功能,只要将钱投进没去,就会得到你所要求的货物!-VHDL program of the vending machine, vending, as long as the money into did not go get the goods you have requested!
Platform: | Size: 1024 | Author: 徐辉 | Hits:

[Software Engineeringvhdl

Description: 利用VHDL编写的简易自动售货机。本次设计的自动售货机只销售cola与pepsi两种饮料,售价均为1.5元。顾客可以由两个不同投币孔分别投入5角硬币或1元硬币。一次交易只能购买一瓶,且自动找零。只要按下取消键就会马上无条件退币。-Using a simple vending machine in VHDL. The design of the vending machines selling cola and pepsi only two drinks, both at 1.5 yuan. Customers can put 50 cents or one dollar coins coins from two different coin holes. A transaction can only buy a bottle and change automatically. Just press the Cancel button will immediately unconditional coin.
Platform: | Size: 60416 | Author: | Hits:

[VHDL-FPGA-VerilogVHDL

Description: FPGA实现自动售货机,自动售货机系统VHDL程序及仿真-FPGA implementation vending machines, vending machine system procedures and VHDL simulation
Platform: | Size: 144384 | Author: sun fujin | Hits:
« 12 »

CodeBus www.codebus.net