Welcome![Sign In][Sign Up]
Location:
Search - viterbi-hard

Search list

[Communicationviterbi-hard-9

Description: 硬判决viterbi约束长度9测试,c++代码.-hard decision Viterbi constraint length 9 test, c + + code.
Platform: | Size: 5431 | Author: 刘鹏 | Hits:

[Communicationviterbi

Description: 卷积码的译码方法主要有两种:代数译码和概率译码。代数译码是根据卷积码的本身编码结构进行译码,译码时不考虑信道的统计特性。概率译码在计算时要考虑信道的统计特性。典型的算法如:最大似然译码、Viterbi译码、-Convolutional Decoding by two major ways : Decoding algebra, probability and decoding. Decoding is based on algebraic Convolutional Codes itself coding structure decoding, decoding not consider access to the statistical characteristics. Decoding in the calculation of the probability to consider when Channel statistical characteristics. Typical algorithms such as : Maximum Likelihood decoding, Viterbi decoding,
Platform: | Size: 8192 | Author: 姚中华 | Hits:

[Data structsViterbi算法简洁注释版

Description:
Platform: | Size: 1024 | Author: 黄飞龙 | Hits:

[Communication-Mobileviterbi-soft-9

Description: 软判决功率归一viterbi约束长度9,c++代码。-soft-decision power under a Viterbi constraint length 9, c++ code.
Platform: | Size: 5120 | Author: 刘鹏 | Hits:

[Communicationviterbi-hard-9

Description: 硬判决viterbi约束长度9测试,c++代码.-hard decision Viterbi constraint length 9 test, c++ code.
Platform: | Size: 5120 | Author: 刘鹏 | Hits:

[Communicationviterbi_binary_hard

Description: (3,1,4)卷积码的viterbi硬判决的源代码,通过高斯信道的误码率-(3,1,4) Convolutional Codes Viterbi hard decisions source code, the Gauss channel BER
Platform: | Size: 4096 | Author: 朱磊 | Hits:

[Otherviterbi1lva

Description: 这是lva算法,List of viterbi algorithm,使用qpsk信道,采用的编码是(133,171,165)-algorithm List of Viterbi algorithm, use qpsk channel, the coding is used (133,171,165)
Platform: | Size: 464896 | Author: 孙洪亮 | Hits:

[Communication-Mobileviterbi

Description: 卷积码的维特比译码,约束长度为9,分别采用硬判决和软判决实现-Convolutional code Viterbi decoder, constraint length of 9, respectively, using hard-decision and realize soft-decision
Platform: | Size: 11264 | Author: jishanyi | Hits:

[Post-TeleCom sofeware systemshard_viterbi_decode

Description: viterbi硬判决的程序,包括绘制信能曲线图等。基于matlab实现-hard-decision viterbi procedures, including mapping, such as a letter to curves. Based on matlab realize
Platform: | Size: 1024 | Author: wubaishan | Hits:

[VHDL-FPGA-Verilogviterbi

Description: 介绍了viterbi译码器的编解码器的设计,包括decoder.v,encoder.v.control.v,ram.v等,压缩 包里面有pdf说明-Introduced a viterbi decoder codec design, including decoder.v, encoder.v.control.v, ram.v and so on, there are pdf compression package description
Platform: | Size: 62464 | Author: yaoyongshi | Hits:

[Communicationchannel_dec

Description: 维特比硬判决的译码程序,可以经过简单修改适应任何的约束长度-Hard-decision Viterbi decoding process can be simply adapted to any constraint length
Platform: | Size: 3072 | Author: a_hao | Hits:

[matlabViterbi

Description: Viterbi Algorithm & Viterbi Decoder Matlab Code.(Provided both soft & hard decision ability). Note: The main function is viterbi.m
Platform: | Size: 3072 | Author: Nick | Hits:

[Communication-Mobileviterbi

Description: 一个(k,n,K)的卷积码的维特比译码算法-A (k, n, K) convolutional codes Viterbi decoding algorithm
Platform: | Size: 2048 | Author: 庄镒鹏 | Hits:

[matlabviterbi

Description: 实现了卷积码的viterbi译码,软判决和硬判决均可实现,是从英文教学书上抄下来的。-Achieved viterbi decoding of convolutional codes, soft decision and hard decision can be realized, from the English teaching books, copied down.
Platform: | Size: 5120 | Author: roar | Hits:

[matlabviterbi

Description: 软判决译码方式,2PSK的维特比译码,MATLAB仿真-Soft-decision decoding methods, 2PSK Viterbi Decoding
Platform: | Size: 1024 | Author: 张见峰 | Hits:

[Compress-Decompress algrithmsviterbidec

Description: Its a hard decision viterbi decoder that i built for my final year project.it decodes the convolutionally encoded data of code rate 1/2 having constraint length of 3.
Platform: | Size: 2048 | Author: Adnan | Hits:

[Program docViterbiMLD

Description: This viterbi soft and hard decision decoding ...extremely useful and easy approach for BER .-This is viterbi soft and hard decision decoding ...extremely useful and easy approach for BER .
Platform: | Size: 144384 | Author: waheed | Hits:

[VHDL-FPGA-Verilogviterbi

Description: 硬判决viterbi译码的硬件实现,通过verilog语言。采用回溯的方法。回溯深度为16.-Hard decision viterbi decoding in hardware, through the verilog language. A retrospective approach. Back depth is 16.
Platform: | Size: 92160 | Author: Fengxiaodong | Hits:

[matlabviterbi-hard-and-soft-decoding-AWGN_VD_SPC

Description: VITERBI DECODING HARD AND SOFT DECODING ALGORIHTM
Platform: | Size: 2048 | Author: VIP | Hits:

[Otherviterbi

Description: 卷积码,Bpsk调制,利用硬解码和维特比软译码(Convolutional code, Bpsk modulation, using hard decoding and Viterbi soft decoding)
Platform: | Size: 1024 | Author: rango | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net