Welcome![Sign In][Sign Up]
Location:
Documents

Documents List Page 16448

« 1 2 ... .43 .44 .45 .46 .47 16448.49 .50 .51 .52 .53 ... 16928 »

[Documents图书管理系统编码设计

Description: 文档的目的是描述说明图书管理系统的编码规范和主要代码的实现。主要包括编码规范,命名规范,注释规范,语句声明等说明。还有功能实现的详细c#源代码
Platform: | Size: 238080 | Author: haihui0406 | Hits:

[DocumentsITU656数据协议中文版

Description:
Platform: | Size: 452040 | Author: whosyourdaddy | Hits:

[Documents华为PCB设计规范

Description: 华为的设计规范,很有参考价值
Platform: | Size: 359082 | Author: richardhjc | Hits:

[Technology Management3G网络结构

Description:
Platform: | Size: 137216 | Author: horrycgy | Hits:

[Documentsjavaee教程

Description:
Platform: | Size: 2450504 | Author: andyyixi@126.com | Hits:

[Documents物流管理系统

Description: 系统任务描述:为物流公司管理货物信息及其流转信息,管理线路、站点信息、费用标准,为分公司提供方便有效的分检和选派车辆的功能。 任务目标: 1、 物流公司信息管理 2、 分站点信息管理 3、 收费标准信息管理 4、 线路信息管理 5、 货物信息管理 6、 包装材料信息管理、 7、 职工信息管理 8、 按目的站点分检 9、 按线路分检点 10、 选择到达分站点的车辆 11、 选择到达目的站点的车辆 12、 根据可载量和速度选择车辆分配货物
Platform: | Size: 909808 | Author: xtzhoulu@163.com | Hits:

[Documents电子商务客户网络购物行为挖掘

Description: 电子商务客户网络购物行为挖掘.pdf
Platform: | Size: 311917 | Author: lvjiaguo868@sina.com | Hits:

[DocumentsRS232串口通信电平转化

Description:
Platform: | Size: 9400 | Author: fjj027@163.com | Hits:

[Documentscn_mega128-128L

Description:
Platform: | Size: 2599004 | Author: fjj027@163.com | Hits:

[Documents4G无线网络技术

Description: 4G无线网络技术,英文版,经典
Platform: | Size: 12843969 | Author: miss_but_lose@163.com | Hits:

[Documentsmatlab实用建模教程

Description: matlab实用建模教程
Platform: | Size: 162014 | Author: zhbb101@126.com | Hits:

[Documents自动售货机VHDL程序与仿真

Description: library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买、选择、完成信号 coin0,coin1: i
Platform: | Size: 204288 | Author: niuyuanlai@163.com | Hits:
« 1 2 ... .43 .44 .45 .46 .47 16448.49 .50 .51 .52 .53 ... 16928 »

CodeBus www.codebus.net