CodeBus
www.codebus.net
Search
Sign in
Sign up
Hot Search :
Source
embeded
web
remote control
p2p
game
More...
Location :
Home
Documents
Main Category
SourceCode
Web Code
Develop Tools
Document
Other resource
Sub Category
Network Marketing
Management
E-commerce
Business guide
Business plan
Successful incentive
Human Resources
Report papers
Marketing materials
Consulting and training
Website
Software Engineering
File Format
Technology Management
Industry research
Program doc
Other
Search in result
Search
Documents list
Sort by :
«
1
2
...
.44
.45
.46
.47
.48
16449
.50
.51
.52
.53
.54
...
16930
»
javaee教程
Downloaded:0
Update
: 2009-05-07
Size
: 2.34mb
Publisher
:
andyyixi@126.com
物流管理系统
Downloaded:2
系统任务描述:为物流公司管理货物信息及其流转信息,管理线路、站点信息、费用标准,为分公司提供方便有效的分检和选派车辆的功能。 任务目标: 1、 物流公司信息管理 2、 分站点信息管理 3、 收费标准信息管理 4、 线路信息管理 5、 货物信息管理 6、 包装材料信息管理、 7、 职工信息管理 8、 按目的站点分检 9、 按线路分检点 10、 选择到达分站点的车辆 11、 选择到达目的站点的车辆 12、 根据可载量和速度选择车辆分配货物
Update
: 2009-05-08
Size
: 888.48kb
Publisher
:
xtzhoulu@163.com
电子商务客户网络购物行为挖掘
Downloaded:0
电子商务客户网络购物行为挖掘.pdf
Update
: 2009-05-08
Size
: 304.61kb
Publisher
:
lvjiaguo868@sina.com
RS232串口通信电平转化
Downloaded:0
Update
: 2009-05-08
Size
: 9.18kb
Publisher
:
fjj027@163.com
cn_mega128-128L
Downloaded:0
Update
: 2009-05-08
Size
: 2.48mb
Publisher
:
fjj027@163.com
4G无线网络技术
Downloaded:0
4G无线网络技术,英文版,经典
Update
: 2009-05-08
Size
: 12.25mb
Publisher
:
miss_but_lose@163.com
matlab实用建模教程
Downloaded:0
matlab实用建模教程
Update
: 2009-05-08
Size
: 158.22kb
Publisher
:
zhbb101@126.com
自动售货机VHDL程序与仿真
Downloaded:0
library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买、选择、完成信号 coin0,coin1: i
Update
: 2009-05-08
Size
: 199.5kb
Publisher
:
niuyuanlai@163.com
VC++中用Excel实现数据库表的导入与导出
Downloaded:1
这是一个很好的VC++中用Excel实现数据库表的导入与导出程序
Update
: 2009-05-09
Size
: 181.07kb
Publisher
:
ctj_88@126.com
计算机网络安全教程PPT
Downloaded:1
网络安全教程PPT下载
Update
: 2009-05-09
Size
: 2.78mb
Publisher
:
cnyydeng@163.com
蚁群算法学习包
Downloaded:0
蚁群算法相关论文
Update
: 2009-05-10
Size
: 4.29mb
Publisher
:
KOLAZJY
带中文字库的液晶显示模块RT12864-M
Downloaded:7
led带中文字库的液晶显示模块RT12864-M
Update
: 2009-05-11
Size
: 163.14kb
Publisher
:
shengenjian
«
1
2
...
.44
.45
.46
.47
.48
16449
.50
.51
.52
.53
.54
...
16930
»
CodeBus
is the largest source code store in internet!
Contact us :
1999-2046
CodeBus
All Rights Reserved.