Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: FD_sd_card_V1.0 Download
 Description: I downloaded off the Internet and debug off, and the full realization of NIOS under the SD card reader and includes FAT16 file system implementation, using QT8.1, FPGA years to achieve, which detailed wiring diagram, is a complete one project in EP2C20Q240C8 debug in the success of
 To Search: nios sd ni nios sd card
File list (Check if you may need any files):
sd_card
.......\altpll0.bsf
.......\altpll0.ppf
.......\altpll0.v
.......\altpll0_bb.v

.......\altpll0_waveforms.html
.......\altpllpll.bsf
.......\altpllpll.ppf
.......\altpllpll.qip
.......\altpllpll.v
.......\altpllpll_bb.v

.......\altpllpll_waveforms.html
.......\button_pio.v
.......\Chain1.cdf
.......\chaosb_irq_pio.v
.......\clock_0.v
.......\clock_1.v
.......\clock_2.v
.......\clock_3.v
.......\clock_4.v
.......\clock_5.v
.......\clock_6.v
.......\clock_7.v
.......\cpu.ocp
.......\cpu.sdc
.......\cpu.v
.......\cpu_bht_ram.mif
.......\cpu_dc_tag_ram.mif
.......\cpu_ic_tag_ram.mif
.......\cpu_jtag_debug_module.v
.......\cpu_jtag_debug_module_sysclk.v
.......\cpu_jtag_debug_module_tck.v
.......\cpu_jtag_debug_module_wrapper.v
.......\cpu_ociram_default_contents.mif
.......\cpu_oci_test_bench.v
.......\cpu_rf_ram_a.mif
.......\cpu_rf_ram_b.mif
.......\cpu_test_bench.v
.......\cs.bsf
.......\CSB_input.v
.......\db
.......\..\add_sub_lkc.tdf
.......\..\add_sub_mkc.tdf
.......\..\altsyncram_15q1.tdf
.......\..\altsyncram_29f1.tdf
.......\..\altsyncram_3g01.tdf
.......\..\altsyncram_41g1.tdf
.......\..\altsyncram_9tl1.tdf
.......\..\altsyncram_9vc1.tdf
.......\..\altsyncram_abn1.tdf
.......\..\altsyncram_b4e1.tdf
.......\..\altsyncram_c4p3.tdf
.......\..\altsyncram_chp1.tdf
.......\..\altsyncram_cub1.tdf
.......\..\altsyncram_d3i1.tdf
.......\..\altsyncram_e502.tdf
.......\..\altsyncram_fcb1.tdf
.......\..\altsyncram_g0q1.tdf
.......\..\altsyncram_i1p3.tdf
.......\..\altsyncram_j9f1.tdf
.......\..\altsyncram_k1l1.tdf
.......\..\altsyncram_l6e1.tdf
.......\..\altsyncram_lde1.tdf
.......\..\altsyncram_m6e1.tdf
.......\..\altsyncram_mge1.tdf
.......\..\altsyncram_p2f1.tdf
.......\..\altsyncram_p9f1.tdf
.......\..\altsyncram_pkf1.tdf
.......\..\altsyncram_q2f1.tdf
.......\..\altsyncram_qed1.tdf
.......\..\altsyncram_reb1.tdf
.......\..\altsyncram_t072.tdf
.......\..\altsyncram_u0g1.tdf
.......\..\altsyncram_uce1.tdf
.......\..\alt_synch_pipe_0e8.tdf
.......\..\alt_synch_pipe_vd8.tdf
.......\..\alt_u_div_i2f.tdf
.......\..\a_dpfifo_8t21.tdf
.......\..\a_fefifo_7cf.tdf
.......\..\a_gray2bin_kdb.tdf
.......\..\a_graycounter_egc.tdf
.......\..\a_graycounter_fgc.tdf
.......\..\a_graycounter_o96.tdf
.......\..\cmpr_5cc.tdf
.......\..\cmpr_8cc.tdf
.......\..\cntr_02j.tdf
.......\..\cntr_5bi.tdf
.......\..\cntr_8ai.tdf
.......\..\cntr_abi.tdf
.......\..\cntr_e1j.tdf
.......\..\cntr_fjb.tdf
.......\..\cntr_gui.tdf
.......\..\cntr_m9i.tdf
.......\..\cntr_nbi.tdf
.......\..\cntr_rj7.tdf
.......\..\cntr_sbi.tdf
.......\..\cntr_uti.tdf
.......\..\dcfifo_p1m1.tdf
    

CodeBus www.codebus.net