Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: PS2Interface Download
 Description: Interface PS/2 key board
 Downloaders recently: [More information of uploader himura_david]
 To Search:
  • [PS_2] - This module for the PS/2 mouse or keyboa
  • [keyboard_ctrl] - Keyboard PS/2 controller (4 key can be d
  • [Frecuencymeter] - Frecuencymeter digital, clk frequency 10
  • [spi] - SPI Verilog code with programmable clock
File list (Check if you may need any files):
INterfaz_PS2
............\Interfaz PS2
............\............\0Interfaz_PS2.mgf
............\............\1Interfaz_PS2.mgf
............\............\3Interfaz_PS2.mgf
............\............\bde.set
............\............\compilation.order
............\............\compile
............\............\.......\contents.lib~
............\............\.......\Interfaz PS2.cmd
............\............\.......\Interfaz_PS2.epr
............\............\.......\Interfaz_PS2.erf
............\............\.......\Interfaz_PS2.top
............\............\.......\sources.sth
............\............\compile.cfg
............\............\Edfmap.ini
............\............\elaboration.log
............\............\Interfaz PS2.adf
............\............\Interfaz PS2.wsp
............\............\Interfaz_PS2.LIB
............\............\Interfaz_PS2.rlb
............\............\log
............\............\...\console.log
............\............\projlib.cfg
............\............\src
............\............\...\Interfaz_7seg.vhd
............\............\...\Principal.vhd
............\............\...\RegistroS_P.vhd
............\............\synthesis.order
............\INterfaz_PS2.aws
............\library.cfg
    

CodeBus www.codebus.net