Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: hdb3 Download
 Description: library ieee use ieee.std_logic_1164.all entity hdb3 is port(codein: in std_logic clk : in std_logic clr : in std_logic --复位信号 codeout: out std_logic_vector(1 downto 0)) end hdb3
 To Search:
  • [HDB3] - HDB3 encoder and decoder
File list (Check if you may need any files):
hdb3编码源程序完整版.txt
    

CodeBus www.codebus.net