Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: PISO Download
 Description: this code is designed to perform parallel to serial operation it is very essential in every design
 Downloaders recently: [More information of uploader kimo_nasr88]
 To Search:
  • [exam1] - 2D SIMPLEC Algorithm
  • [episo] - the classic PISO algorithm for the Engin
  • [piso.txt] - PISO implemented in VHDL.
File list (Check if you may need any files):
PISO\.lso
....\data.do
....\PISO.fdo
....\PISO.ise
....\PISO.ise_ISE_Backup
....\PISO.prj
....\PISO.restore
....\PISO.stx
....\PISO.udo
....\PISO.vhd
....\PISO.xst
....\PISO_summary.html
....\PISO_vhdl.prj
....\transcript
....\vsim.wlf
....\work\@_opt\vopt03sada
....\....\.....\vopt0ktdca
....\....\.....\vopt4nga6a
....\....\.....\vopt8w76k9
....\....\.....\voptbni36d
....\....\.....\voptisrtae
....\....\.....\voptnveq4e
....\....\.....\vopts24j7e
....\....\.....\vopts2ehda
....\....\.....\voptwi3dda
....\....\.....\_deps
....\....\piso\behavioral.dat
....\....\....\behavioral.dbs
....\....\....\_primary.dat
....\....\....\_primary.dbs
....\....\_info
....\....\_vmake
....\xst\work\hdllib.ref
....\...\....\hdpdeps.ref
....\...\....\sub00\vhpl00.vho
....\...\....\.....\vhpl01.vho
....\_xmsgs\xst.xmsgs
....\__ISE_repository_PISO.ise_.lock
....\xst\work\sub00
....\work\@_opt
....\....\piso
....\....\_temp
....\xst\projnav.tmp
....\...\work
....\work
....\xst
....\_xmsgs
PISO
    

CodeBus www.codebus.net