Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop Embeded Linux
Title: transmit1 Download
 Description: Infrared wireless transmission of signals based on the sending end. With four buttons, the use of NEC code protocol.
 Downloaders recently: [More information of uploader wangyongee]
 To Search:
File list (Check if you may need any files):
transmit1\sim\rtl_sim\run\transmit_01.shm\transmit_01.trn
.........\...\.......\...\...............\transmit_01.dsn
.........\...\.......\...\INCA_libs\.ncv.lock
.........\...\.......\...\.........\hdl.var
.........\...\.......\...\.........\snap.nc\.incl.file
.........\...\.......\...\.........\.......\svlan.args
.........\...\.......\...\.........\.......\ncvlog.env
.........\...\.......\...\.........\.......\cdsrun.lib
.........\...\.......\...\.........\.......\ncelab.hrd
.........\...\.......\...\.........\.......\.ncv.lock
.........\...\.......\...\.........\.......\files.ts
.........\...\.......\...\.........\.......\hdlrun.var
.........\...\.......\...\.........\.......\hdl.var
.........\...\.......\...\.........\.......\ncelab.env
.........\...\.......\...\.........\.......\ncsim.args
.........\...\.......\...\.........\.......\ixcom.args
.........\...\.......\...\.........\.......\ixcom.env
.........\...\.......\...\.........\.......\vhan.args
.........\...\.......\...\.........\.......\ncsim_restart.args
.........\...\.......\...\.........\.......\ncsim.env
.........\...\.......\...\.........\.......\cds.lib
.........\...\.......\...\.........\.......\ncelab.args
.........\...\.......\...\.........\.......\.ydir.file
.........\...\.......\...\.........\.......\ncvlog.hrd
.........\...\.......\...\.........\.......\.ncrun.lock
.........\...\.......\...\.........\.......\ncvlog.files
.........\...\.......\...\.........\.......\ncsim_restart.env
.........\...\.......\...\.........\.......\ncvlog.args
.........\...\.......\...\.........\.......\svlan.env
.........\...\.......\...\.........\.......\bind.lst.lnx86
.........\...\.......\...\.........\.......\vhan.env
.........\...\.......\...\.........\.......\ncverilog.args
.........\...\.......\...\.........\worklib\inca.lnx86.173.pak
.........\...\.......\...\.........\.......\.inca.db.173.lnx86
.........\...\.......\...\.........\.......\cdsinfo.tag
.........\...\.......\...\.........\.......\.cdsvmod
.........\...\.......\...\.........\cds.lib
.........\...\.......\...\.........\irun.lnx86.08.20.nc\.incl.file
.........\...\.......\...\.........\...................\svlan.args
.........\...\.......\...\.........\...................\ncvlog.env
.........\...\.......\...\.........\...................\cdsrun.lib
.........\...\.......\...\.........\...................\ncelab.hrd
.........\...\.......\...\.........\...................\.ncv.lock
.........\...\.......\...\.........\...................\files.ts
.........\...\.......\...\.........\...................\hdlrun.var
.........\...\.......\...\.........\...................\hdl.var
.........\...\.......\...\.........\...................\ncelab.env
.........\...\.......\...\.........\...................\ncsim.args
.........\...\.......\...\.........\...................\ixcom.args
.........\...\.......\...\.........\...................\ixcom.env
.........\...\.......\...\.........\...................\vhan.args
.........\...\.......\...\.........\...................\ncsim_restart.args
.........\...\.......\...\.........\...................\ncsim.env
.........\...\.......\...\.........\...................\cds.lib
.........\...\.......\...\.........\...................\ncelab.args
.........\...\.......\...\.........\...................\.ydir.file
.........\...\.......\...\.........\...................\ncvlog.hrd
.........\...\.......\...\.........\...................\.ncrun.lock
.........\...\.......\...\.........\...................\ncvlog.files
.........\...\.......\...\.........\...................\ncsim_restart.env
.........\...\.......\...\.........\...................\ncvlog.args
.........\...\.......\...\.........\...................\svlan.env
.........\...\.......\...\.........\...................\bind.lst.lnx86
.........\...\.......\...\.........\...................\vhan.env
.........\...\.......\...\.........\...................\ncverilog.args
.........\...\.......\...\.........\rtl\inca.lnx86.173.pak
.........\...\.......\...\.........\...\.inca.db.173.lnx86
.........\...\.......\...\.........\...\cdsinfo.tag

CodeBus www.codebus.net