Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: debounce Download
 Description: Switch debounce unit (written in VHDL).
 Downloaders recently: [More information of uploader naohta_sang]
 To Search:
File list (Check if you may need any files):
debounce\DEBOUNCE.sym
........\debounce.vhd
........\Symbol.gif
........\Timing.gif
debounce
    

CodeBus www.codebus.net