Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: PWM_modem Download
 Description: 8bit PWM encoder and decoder, the zip includes PWM timing and both decoding and encoding modules. The system will run perfectly on any CPLD or FPGA. Documentation regarding the design is also included.
 To Search:
File list (Check if you may need any files):
PWM_ENCODER.vhd
PWM_DECODER.vhd
echo_modem.vhd
Design.docx
CLK_PWM.vhd
    

CodeBus www.codebus.net