Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: image-scaling--based-on-the-verilog Download
 Description: Compressed file contains rich image scaling algorithm, written by Verilog language, and contains the corresponding PDF files.
 Downloaders recently: [More information of uploader 林传阳]
 To Search:
File list (Check if you may need any files):
 

图像缩放—verilog\双线性\双线性插值程序\altdpram0.bsf
.................\......\..............\altdpram0.qip
.................\......\..............\altdpram0.tdf
.................\......\..............\altdpram0_wave0.jpg
.................\......\..............\altdpram0_wave1.jpg
.................\......\..............\altdpram0_waveforms.html
.................\......\..............\altdpram1.bsf
.................\......\..............\altdpram1.qip
.................\......\..............\altdpram1.tdf
.................\......\..............\altdpram1_wave0.jpg
.................\......\..............\altdpram1_wave1.jpg
.................\......\..............\altdpram1_waveforms.html
.................\......\..............\altdpram2.bsf
.................\......\..............\altdpram2.qip
.................\......\..............\altdpram2.tdf
.................\......\..............\altdpram2_wave0.jpg
.................\......\..............\altdpram2_wave1.jpg
.................\......\..............\altdpram2_waveforms.html
.................\......\..............\altpll0.bsf
.................\......\..............\altpll0.ppf
.................\......\..............\altpll0.qip
.................\......\..............\altpll0.tdf
.................\......\..............\altpll0_wave0.jpg
.................\......\..............\altpll0_waveforms.html
.................\......\..............\clk_fp.bsf
.................\......\..............\clk_fp.v
.................\......\..............\clk_fp.v.bak
.................\......\..............\clk_select.bsf
.................\......\..............\clk_select.v
.................\......\..............\clk_select.v.bak
.................\......\..............\db\add_sub_bah.tdf
.................\......\..............\..\add_sub_cah.tdf
.................\......\..............\..\add_sub_cfh.tdf
.................\......\..............\..\add_sub_dah.tdf
.................\......\..............\..\add_sub_eah.tdf
.................\......\..............\..\add_sub_tmg.tdf
.................\......\..............\..\add_sub_unc.tdf
.................\......\..............\..\add_sub_vnc.tdf
.................\......\..............\..\altpll_4t42.tdf
.................\......\..............\..\altsyncram_2kv.tdf
.................\......\..............\..\altsyncram_4hm1.tdf
.................\......\..............\..\altsyncram_4iv.tdf
.................\......\..............\..\altsyncram_5iv.tdf
.................\......\..............\..\altsyncram_8gm1.tdf
.................\......\..............\..\altsyncram_9801.tdf
.................\......\..............\..\altsyncram_bjj1.tdf
.................\......\..............\..\altsyncram_jb01.tdf
.................\......\..............\..\altsyncram_knu.tdf
.................\......\..............\..\altsyncram_l3r1.tdf
.................\......\..............\..\altsyncram_vc01.tdf
.................\......\..............\..\alt_synch_pipe_8u7.tdf
.................\......\..............\..\alt_synch_pipe_9u7.tdf
.................\......\..............\..\alt_u_div_93f.tdf
.................\......\..............\..\alt_u_div_j5f.tdf
.................\......\..............\..\alt_u_div_l2f.tdf
.................\......\..............\..\alt_u_div_p2f.tdf
.................\......\..............\..\a_graycounter_d4c.tdf
.................\......\..............\..\a_graycounter_e4c.tdf
.................\......\..............\..\a_graycounter_im6.tdf
.................\......\..............\..\cmpr_656.tdf
.................\......\..............\..\dcfifo_bmh1.tdf
.................\......\..............\..\dffpipe_2v8.tdf
.................\......\..............\..\dffpipe_3v8.tdf
.................\......\..............\..\lpm_divide_4gm.tdf
.................\......\..............\..\lpm_divide_6gm.tdf
.................\......\..............\..\lpm_divide_78m.tdf
.................\......\..............\..\lpm_divide_98m.tdf
.................\......\..............\..\lpm_divide_egm.tdf
.................\......\..............\..\lpm_divide_m9m.tdf
........

CodeBus www.codebus.net