Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: CD1_PHOTO_ABLUM_1920 Download
 Description: Using FPGA to do the digital album experiment, using NIOS to do the FAT32 file system and JPEG image decoding, FPGA and SDRAM to do the display cache
 Downloaders recently: [More information of uploader 丁]
 To Search:
File list (Check if you may need any files):
 

CD1_PHOTO_ABLUM_1920\FPGA\.qsys_edit\filters.xml
....................\....\..........\preferences.xml
....................\....\.sopc_builder\filters.xml
....................\....\.............\install.ptf
....................\....\.............\install2.ptf
....................\....\.............\preferences.xml
....................\....\CD1_PHOTO_ABLUM_1920.asm.rpt
....................\....\CD1_PHOTO_ABLUM_1920.cdf
....................\....\CD1_PHOTO_ABLUM_1920.done
....................\....\CD1_PHOTO_ABLUM_1920.dpf
....................\....\CD1_PHOTO_ABLUM_1920.fit.rpt
....................\....\CD1_PHOTO_ABLUM_1920.fit.smsg
....................\....\CD1_PHOTO_ABLUM_1920.fit.summary
....................\....\CD1_PHOTO_ABLUM_1920.flow.rpt
....................\....\CD1_PHOTO_ABLUM_1920.jdi
....................\....\CD1_PHOTO_ABLUM_1920.map.rpt
....................\....\CD1_PHOTO_ABLUM_1920.map.smsg
....................\....\CD1_PHOTO_ABLUM_1920.map.summary
....................\....\CD1_PHOTO_ABLUM_1920.pin
....................\....\CD1_PHOTO_ABLUM_1920.pof
....................\....\CD1_PHOTO_ABLUM_1920.qpf
....................\....\CD1_PHOTO_ABLUM_1920.qsf
....................\....\CD1_PHOTO_ABLUM_1920.sdc
....................\....\CD1_PHOTO_ABLUM_1920.sof
....................\....\CD1_PHOTO_ABLUM_1920.sta.rpt
....................\....\CD1_PHOTO_ABLUM_1920.sta.summary
....................\....\CD1_PHOTO_ABLUM_1920.v
....................\....\CF.v
....................\....\cf_0.v
....................\....\CONTROL.v
....................\....\cpu_0.ocp
....................\....\cpu_0.sdc
....................\....\cpu_0.v
....................\....\cpu_0_bht_ram.mif
....................\....\cpu_0_dc_tag_ram.mif
....................\....\cpu_0_ic_tag_ram.mif
....................\....\cpu_0_jtag_debug_module_sysclk.v
....................\....\cpu_0_jtag_debug_module_tck.v
....................\....\cpu_0_jtag_debug_module_wrapper.v
....................\....\cpu_0_mult_cell.v
....................\....\cpu_0_ociram_default_contents.mif
....................\....\cpu_0_oci_test_bench.v
....................\....\cpu_0_rf_ram_a.mif
....................\....\cpu_0_rf_ram_b.mif
....................\....\cpu_0_test_bench.v
....................\....\epcs_flash_controller_0.v
....................\....\epcs_flash_controller_0_boot_rom.hex
....................\....\epcs_flash_controller_0_boot_rom_synth.hex
....................\....\Image_RW_0.v
....................\....\.P\Image_RW\Image_RW.v
....................\....\..\........\Image_RW_hw.tcl
....................\....\..\........\Image_RW_hw.tcl~
....................\....\..\SRAM_16Bit_512K\hdl\SRAM_16Bit_512K.v
....................\....\..\...............\SRAM_16Bit_512K_hw.tcl
....................\....\jtag_uart_0.v
....................\....\KEY.v
....................\....\LED.v
....................\....\nios.bsf
....................\....\nios.html
....................\....\nios.ptf
....................\....\nios.ptf.8.0
....................\....\nios.ptf.pre_generation_ptf
....................\....\nios.qip
....................\....\nios.sopc
....................\....\nios.sopcinfo
....................\....\nios.v
....................\....\nios_generation_script
....................\....\nios_inst.v
....................\....\nios_log.txt
....................\....\.....sim\atail-f.pl
....................\....\........\dummy_file
....................\....\........\jtag_uart_0_input_mutex.dat
....................\....\........\jtag_uart_0_input_stream.dat
....................\....\........\jtag_uart_0_output_stream.dat
....................\....\nios_upgrade_log.txt
....................\....\PIO.v
....................\....\PLL108.ppf
....................\....\PLL108.qip
....................\....\PLL108.v
....................\....\PLL50.ppf
....................\....\PLL50.qip
....................\....\PLL50.v
....................\....\PLLJ_PLLSPE_INFO.txt
....................\....\sdram_0.v
....................\....\Sdram_FIFO.qip
....................\....\Sdram_PLL.qip
..............

CodeBus www.codebus.net