Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: epm240_example Download
 Description: A total of 10 procedures, namely, 1 frequency dividers, 2 state machines, 3 counters, 4 dial switches, corresponding to digital tube display 5 keyboard and display 6, keyboard display 7 traffic lights 8, Chinese character rolling 9ADC0804 DC sampling and display 10 sine wave generator.
 Downloaders recently: [More information of uploader girl_lily]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
example1 0 2013-08-13
example10 0 2013-08-13
example10\db 0 2009-10-27
example10\dds.asm.rpt 6513 2007-10-16
example10\dds.cdf 185 2007-10-16
example10\dds.done 26 2007-10-16
example10\dds.dpf 239 2007-10-16
example10\dds.fit.rpt 53323 2007-10-16
example10\dds.fit.smsg 334 2007-10-16
example10\dds.fit.summary 357 2007-10-16
example10\dds.flow.rpt 3873 2007-10-16
example10\dds.map.rpt 19364 2007-10-16
example10\dds.map.summary 284 2007-10-16
example10\dds.pin 14717 2007-10-16
example10\dds.pof 7855 2007-10-16
example10\dds.qpf 901 2007-10-15
example10\dds.qsf 2149 2007-10-16
example10\dds.qws 607 2007-12-07
example10\dds.tan.rpt 74252 2007-10-16
example10\dds.tan.summary 1420 2007-10-16
example10\dds.vhd 7113 2007-10-19
example1\db 0 2009-10-27
example1\div.asm.rpt 6462 2007-10-19
example1\div.done 26 2007-10-19
example1\div.fit.rpt 43882 2007-10-19
example1\div.fit.smsg 334 2007-10-19
example1\div.fit.summary 352 2007-10-19
example1\div.flow.rpt 3873 2007-10-19
example1\div.map.rpt 17239 2007-10-19
example1\div.map.summary 281 2007-10-19
example1\div.pin 14717 2007-10-19
example1\div.pof 7855 2007-10-19
example1\div.qpf 903 2007-09-04
example1\div.qsf 1613 2007-09-04
example1\div.qws 1102 2007-10-19
example1\div.sim.rpt 9030 2007-10-19
example1\div.tan.rpt 15575 2007-10-19
example1\div.tan.summary 975 2007-10-19
example1\div.vhd 887 2018-02-26
example1\div.vwf 1950 2007-09-04
example2 0 2013-08-13
example2\db 0 2009-10-27
example2\moore.asm.rpt 6587 2007-10-12
example2\moore.done 26 2007-10-12
example2\moore.fit.rpt 46814 2007-10-12
example2\moore.fit.smsg 334 2007-10-12
example2\moore.fit.summary 356 2007-10-12
example2\moore.flow.rpt 3891 2007-10-12
example2\moore.map.rpt 18324 2007-10-12
example2\moore.map.summary 285 2007-10-12
example2\moore.pin 14719 2007-10-12
example2\moore.pof 7855 2007-10-12
example2\moore.qpf 905 2007-09-05
example2\moore.qsf 1621 2007-10-07
example2\moore.qws 677 2007-10-12
example2\moore.sim.rpt 9966 2007-10-12
example2\moore.tan.rpt 18859 2007-10-12
example2\moore.tan.summary 1464 2007-10-12
example2\moore.vhd 1619 2007-10-19
example2\moore.vwf 3552 2007-10-12
example3 0 2018-02-26
example3\counter.asm.rpt 6525 2007-09-04
example3\counter.cdf 305 2007-09-04
example3\counter.done 26 2007-09-04
example3\counter.dpf 239 2007-10-06
example3\counter.fit.rpt 51513 2007-09-04
example3\counter.fit.smsg 334 2007-09-04
example3\counter.fit.summary 363 2007-09-04
example3\counter.flow.rpt 3909 2007-09-04
example3\counter.map.rpt 16605 2007-09-04
example3\counter.map.summary 290 2007-09-04
example3\counter.pin 14721 2007-09-04
example3\counter.pof 7855 2007-09-04
example3\counter.qpf 907 2007-09-04
example3\counter.qsf 1989 2007-09-04
example3\counter.qws 634 2007-10-06
example3\counter.tan.rpt 64196 2007-09-04
example3\counter.tan.summary 1616 2007-09-04
example3\counter.vhd 1409 2007-10-19
example3\db 0 2009-10-27
example3\实验说明.txt 587 2007-10-06
example4 0 2013-08-13
example4\db 0 2009-10-27
example4\shu_255.asm.rpt 6525 2007-09-11
example4\shu_255.cdf 305 2007-09-11
example4\shu_255.done 26 2007-09-11
example4\shu_255.dpf 239 2007-09-11
example4\shu_255.fit.rpt 55022 2007-09-11
example4\shu_255.fit.smsg 334 2007-09-11
example4\shu_255.fit.summary 365 2007-09-11
example4\shu_255.flow.rpt 3909 2007-09-11
example4\shu_255.map.rpt 22955 2007-09-11
example4\shu_255.map.summary 292 2007-09-11
example4\shu_255.pin 14721 2007-09-11
example4\shu_255.pof 7855 2007-09-11
example4\shu_255.qpf 907 2007-09-10
example4\shu_255.qsf 2560 2007-09-11
example4\shu_255.qws 152 2007-10-06
example4\shu_255.tan.rpt 61578 2007-09-11
example4\shu_255.tan.summary 1705 2007-09-11

CodeBus www.codebus.net