Welcome![Sign In][Sign Up]
Location:
Search - AM FPGA

Search list

[SourceCodeFPGA的AM解调

Description: FPGA 的解调 程序 很好用
Platform: | Size: 6530133 | Author: jingzni | Hits:

[VHDL-FPGA-Verilog基于FPGA的AM调制

Description: 基于FPGA的AM调制
Platform: | Size: 1872502 | Author: 413967461@qq.com | Hits:

[VHDL-FPGA-Verilogphasemeasure

Description: 本代码为一个相位控制器的源程序,可以实现移项,调幅,调频功能,该代码包含两个部分,一部分是由8051内核单片机构成的人机界面控制,令一部分是由fpga构成的相位数据处理,双方通过8位数据口线进行通信,通信协议为我自己所编写不具有通用性,该代码实现了8051与fpga的简单通信与协作,同时发挥了不同平台各自的优势,8051控制,fpga做算法-The code for a phase controller source code, you can realize transpose, AM, FM function, the code consists of two parts, one by the 8051 MCU core of the man-machine interface control, so that part is constituted by the FPGA data processing phase, the two sides through the 8-bit data port line communication, communication protocol for the preparation of my own does not have versatility, the code 8051 and realize a simple FPGA communication and collaboration, at the same time played a different platform for their own advantages, 8051 control, fpga algorithm to do
Platform: | Size: 665600 | Author: 孙炜 | Hits:

[Othereda

Description: fpga的应用,一个正弦信号发生器,可以调节频率大小,和PSK,QSK,FM,AM等调制-Application of FPGA, a sinusoidal signal generator, can adjust the frequency of size, and PSK, QSK, FM, AM modulation, etc.
Platform: | Size: 7000064 | Author: lijie | Hits:

[Communication-Mobileam

Description: ask调制解调算法c语言设计!!可在code composer studio 中进行信号仿真-ask modulation and demodulation algorithm c language design! ! In code composer studio in Signal Simulation
Platform: | Size: 1024 | Author: xuzhinan | Hits:

[Program docCRC

Description: FPGA实现差错控制编码技术,非常不错的一篇毕业论文,很详细,推荐-FPGA realization of error control coding techniques, a very good dissertation, I am very detailed and recommended
Platform: | Size: 431104 | Author: mediative | Hits:

[VHDL-FPGA-Veriloggoogthing123

Description: FPGA做VGA通讯的详细资料,我找了很久才收集起的,很有用,可供初学者学习实用-FPGA to do VGA communication details, I am looking for a long time before starting the collection, very useful for beginners to learn practical
Platform: | Size: 2048 | Author: cgc | Hits:

[VHDL-FPGA-VerilogAM

Description: FPGA内AM调制工程。内带调制波、载波生成。关键词:FPGA verilog AM DDS-AM modulation works within the FPGA. Within the band modulation wave generated carrier. Key words: FPGA verilog AM DDS
Platform: | Size: 1687552 | Author: baixiangzhou | Hits:

[VHDL-FPGA-Verilogusart

Description: USART coded in VHDL. It is writted in 5 files. I am uploading the files in order.
Platform: | Size: 1024 | Author: Somasekhar | Hits:

[VHDL-FPGA-VerilogFPGA_AM

Description: 基于cyclone系列FPGA的模拟幅度调制的VHDL代码-Cyclone series FPGA-based simulation of VHDL code amplitude modulation
Platform: | Size: 642048 | Author: 李承运 | Hits:

[VHDL-FPGA-Verilogleon3

Description: 这个一个基于amba总线的leon3处理器的vhdl语言程序描述,学习fpga总线开发的请看-The amba bus-based processor vhdl language leon3 procedures described in the study developed fpga see bus
Platform: | Size: 2048 | Author: cws | Hits:

[Software EngineeringZX

Description: 本系统以51单片机及FPGA为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成-The system of 51 single-chip and FPGA for the control of the core module by the sinusoidal signal, power amplifier module, AM (AM), frequency modulation (FM) module, digital keying (ASK, PSK) signal modules and test modules
Platform: | Size: 105472 | Author: 123 | Hits:

[VHDL-FPGA-Verilog200M_DA_AD

Description: 自己编的,用FPGA实现软件DDS调幅。编程语言是VHDL。拿出来相互学习一下。-Own, and with FPGA AM DDS software. Programming language is VHDL. Look out to learn from each other.
Platform: | Size: 271360 | Author: lixuedeng | Hits:

[VHDL-FPGA-Verilogfpga

Description: 大量VHDL语言的实例,很多都是非常经典的例子,是我学习FPGA过程中不断积累的。-VHDL example of a large number of languages, many of them are very classic example is the process I am constantly learning FPGA accumulated.
Platform: | Size: 3410944 | Author: 但的东 | Hits:

[OtherAM_VHDL

Description: AM Demodulator using VHDL for Xilinx FPGA. ISE software
Platform: | Size: 6144 | Author: ali | Hits:

[Driver Developfpga

Description: VHDL写的fpga程序,可产生三角波,方波据此波,正弦波,可实现任意频偏的调频,调相,调幅-Fpga write VHDL program can generate triangle wave, square wave accordingly wave, sine wave, can achieve any frequency offset of the FM, PM, AM
Platform: | Size: 12225536 | Author: 陈俊涵 | Hits:

[VHDL-FPGA-Verilogfpga

Description: 基于FPGA的信号调制,可产生正弦波,并进行ASK调制和AM调制-FPGA-based signal modulation, can produce sine wave, and the ASK modulation and AM modulation
Platform: | Size: 7168 | Author: 张沐松 | Hits:

[VHDL-FPGA-VerilogAM-FM-software-radio

Description: 用FPGA开发AM,FM接收机的论文,外国人写的,我已实现-FPGA development using AM, FM receiver paper, written by foreigners, I realized
Platform: | Size: 286720 | Author: chenblue | Hits:

[SCM程序-正弦信号发生器(FPGA+STM32版)

Description: 以FPGA为核心,实现正弦波、调制波AM、FM、ASK和PSK等功能,通过SPI协议与STM32通信,实现输出波形的选择、频率的设置和基带信号的设定等。(With FPGA as the core, the functions of sine wave, modulation wave AM.FM. ASK and PSK are realized. The output waveform selection, frequency setting and baseband signal setting are realized by SPI protocol and STM32 communication.)
Platform: | Size: 4453376 | Author: sun.smile | Hits:

[VHDL-FPGA-VerilogAM调制解调

Description: 基于Artix-7 FPGA的AM调制解调代码,从AD读入信号后,进行AM调制,并解调输出(将代码分成两个工程就是AM的调制和解调),其中解调用到的数字滤波采用MATLAB设计(The AM modulation and demodulation code based on artix-7 FPGA, after reading the signal from AD, carries out AM modulation, and demodulates the output (the code is divided into two projects, namely AM modulation and demodulation). The digital filter used in demodulation is designed by MATLAB)
Platform: | Size: 41027584 | Author: Emmanuel000 | Hits:
« 12 3 »

CodeBus www.codebus.net