Welcome![Sign In][Sign Up]
Location:
Search - FSK VHDL FPGA

Search list

[Other resourcefpga-example2

Description: ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process and VHDL simulation baseband code generator program design and simulation Cymometer program design and simulation
Platform: | Size: 618922 | Author: 张伟 | Hits:

[Other resourceFSK

Description: 用vhdl写的fpga移频键控程序,控制灵活,完整工程
Platform: | Size: 271653 | Author: wanyou | Hits:

[VHDL-FPGA-Verilogfpga-example2

Description: ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process and VHDL simulation baseband code generator program design and simulation Cymometer program design and simulation
Platform: | Size: 618496 | Author: 张伟 | Hits:

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[matlabApplication_in_FPGA_design_of_Matlab_simulink

Description: 分析了MATLAB/Simulink 中DSP Builder 模块库在FPGA 设计中优点, 然后结合FSK 信号的产生原理,给出了如何利用DSP Builder 模块库建立FSK 信号发生器模 型,以及对FSK 信号发生器模型进行算法级仿真和生成VHDL 语言的方法,并在modelsim 中对FSK 信号发生器进行RTL 级仿真,最后介绍了在FPGA 芯片中实现FSK 信号发生器的设 计方法。-Analysis of the MATLAB/Simulink in DSP Builder Blockset in the FPGA design advantages, and then combined with the emergence of the principle of FSK signal is given how to use DSP Builder Blockset establish FSK signal generator model, as well as the FSK signal generator model algorithm class VHDL simulation and generation language approach, and in ModelSim for FSK signal generator for RTL-level simulation, and finally introduce the FPGA chip realize FSK signal generator design method.
Platform: | Size: 275456 | Author: 普林斯 | Hits:

[VHDL-FPGA-VerilogFSK

Description: 用vhdl写的fpga移频键控程序,控制灵活,完整工程-FPGA using VHDL written FSK procedures, control of a flexible, complete works
Platform: | Size: 271360 | Author: wanyou | Hits:

[VHDL-FPGA-Verilogfpga-fpdpsk

Description: FSK/PSK调制顶层文件 ,正弦波模块 ,正弦波模块初始化文件 ,振幅调整及波形选择模块 ,频率显示值地址产生模块 ,频率步进键核心模块 ,弹跳消除电路-FSK/PSK modulation top-level documents, sine-wave modules, module initialization file sine wave, amplitude adjustment and waveform selection module, the frequency of the displayed value address generator module, the frequency of stepping key core modules, bouncing the elimination of circuit
Platform: | Size: 27648 | Author: libing | Hits:

[VHDL-FPGA-Verilogelecfans.com-74783742

Description: FPGA的重要实例,如PSK调制和解调,ASK,FSK-An important example of FPGA, such as PSK modulation and demodulation, ASK, FSK
Platform: | Size: 1278976 | Author: 钟莉 | Hits:

[Embeded-SCM Develop2FSK

Description: 基于FPGA的2FSK信号发生器的设计.doc-DesignofBasebandSignalGeneratorBasedonFPGA
Platform: | Size: 265216 | Author: 秦雄华 | Hits:

[OS programfsk

Description: 关于FSK调制的FPGA实现,有VHDL源码-FSK modulation on the FPGA, a VHDL source code
Platform: | Size: 141312 | Author: 123 | Hits:

[VHDL-FPGA-Verilogmodule_dem

Description: 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
Platform: | Size: 6068224 | Author: yu | Hits:

[VHDL-FPGA-VerilogFSK

Description: 基于FPGA的FSK的调制解调程序 VHDL-FPGA-based FSK modulation and demodulation process of VHDL
Platform: | Size: 4096 | Author: 张海龙 | Hits:

[VHDL-FPGA-Verilogfsk_modem_design

Description: fsk调制解调器,仿真并FPGA下载测试正确,供大家交流!-fsk modem, simulation and FPGA download the test correctly for all to share!
Platform: | Size: 6144 | Author: wangsy1201 | Hits:

[VHDL-FPGA-Verilog2-fsk

Description: 2-fsk调制解调的fpga实现。two-fsk为调制程序,fsk-two为解调程序。-2-fsk modulation and demodulation of fpga implementation. two-fsk for the modulation process, fsk-two for the demodulation process.
Platform: | Size: 1024 | Author: 张维 | Hits:

[VHDL-FPGA-VerilogFPGA_verilog

Description: FPGA很有价值的27实例:如ASK、PSK、FSK调制与解调VHDL程序及仿真等-FPGA verilog
Platform: | Size: 1278976 | Author: | Hits:

[VHDL-FPGA-VerilogFSK

Description: 频移键控FSK的Verilog实现,带测试文件,并在FPGA开发板上成功验证-Frequency Shift Keying FSK the Verilog implementation, with the test file, and successfully verified in FPGA development board
Platform: | Size: 41984 | Author: wang | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 基于FPGA的通信系统调制解调,包括理论知识介绍和VHDL程序。包含2ASK ,2FSK,2PSK -FPGA-based modem communication systems, including the introduction of theoretical knowledge and the VHDL program. Contains 2ASK, 2FSK, 2PSK
Platform: | Size: 607232 | Author: songlina | Hits:

[VHDL-FPGA-VerilogFPGAFSK

Description: 该文档是基于FPGA的2FSK调制程序,包含仿真结果-The document is based on FPGA-2FSK modulation process, including simulation results
Platform: | Size: 81920 | Author: 鲁建 | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 基于FPGA的FSK 的实现!fsk的调制解调,相干与非相干解调!-Implementation of FPGA-based FSK! fsk modulation and demodulation, coherent and non-coherent demodulation!
Platform: | Size: 1024 | Author: lili | Hits:

[VHDL-FPGA-VerilogFSK

Description: FSK调制的VHDL编码的fpga实现,了解信号的FSK处理方法-FSK modulation fpga implementation of VHDL coding, the FSK signal processing methods to understand
Platform: | Size: 1024 | Author: | Hits:
« 12 »

CodeBus www.codebus.net