Location:
Search - SEL
Search list
Description: 一个游戏
the hardware for the game includes a number of displays, each with a button and
-- a light, that each represent a bin that can store marbles (beans).
--
-- The display indicates the number of marbles in each bin at any given time.
-- The light indecates that the present bin is not empty and that pushing the
-- button is a valid move in the game.
--
-- The button for each display indicates that a player takes the marbles from
-- the selected bin, and takes them in his hand. The hand is represented by a
-- diplay itself (no button).
--
-- Each player has a home bin, located on opposite sides of the game.-a game the hardware for the game includes a nu mber of displays, each with a button and -- a light, that each represent a bin that can store marbles (beans). -- -- The display indicates the number of marbles in each bin at any given time. -- The li 86-108 indecates that the present empty bin is not a nd that pushing the -- button is a valid move in th e game. -- -- The button for each display indicat es that a player takes the marbles from -- the sel ected bin, and takes them in his hand. The hand is represent ed by a -- diplay itself (no button). -- -- Each pl Ayer has a home bin. located on opposite sides of the game.
Platform: |
Size: 5154 |
Author: 李清 |
Hits:
Description: JAVASCRIPT的一个选择类,
大家可以下载看看,这是我的一个作品!-JAVASCRIPT choice of a type, we can look at the downloaded, it is one of my works!
Platform: |
Size: 8939 |
Author: asadf |
Hits:
Description: 计算组合数公式C(ele,sel)=A(ele,sel)/sel!
不用递归实现,放心使用-calculation formula portfolio C (ele, sel) = A (ele, sel) / sel! Recursive not achieved, the use of confidence
Platform: |
Size: 1337 |
Author: 廖月旺 |
Hits:
Description: The Viterbi algorithm is the same as the binary case with one main difference: The survivor sequences include the uncoded bits, which are decided at each trellis stage when selecting one of two parallel branches with the largest correlation metric.
Presently, only 8-PSK modulation is considered. Extensions to higher-order modulations can be implemented following a similar procedure.
-The Viterbi algorithm is the same as the bin Indigenous case with one main difference : The survivor sequences include the uncoded bit s, which are decided at each trellis stage when sel ecting one of two parallel branches with the deviation gest correlation metric. Presently, only 8-PSK modulation is considered. Extensio ns to higher-order modulations can be implemen ted following a similar procedure.
Platform: |
Size: 5377 |
Author: 里海 |
Hits:
Description: 与保护、测控设备通讯的DNP3.0规约,与SEL公司部分产品完成通讯
Tornado2.0编译,无故障运行与研华HE-8XX系列主板3年以上
解压密码luckycy-and protection, monitoring and control equipment communications DNP3.0 Statute SEL with the completion of part of the company's communications products Tornado2.0 compiler, fault-free operation with the China Institute HE-8XX series of the Main Board for three years or more extract passwords luckycy
Platform: |
Size: 18684 |
Author: 电气工程师 |
Hits:
Description: 将4MHz的访波输入到ccc模块上,输出500Hz提供鸣叫声频。1kHz的方波经fen10模块进行十分频后为秒模块mian、分模块mina、时模块hour,提供时钟信号;用sst模块为整点报时提供控制信号,(当59 50\"、52\"、54\"、56\"、58\"时,q500输出为”1”,秒为00时qlk输出为”1”,这两个信号经过逻辑或门实现报时功能);用sel模块提供数码管片选信号;用模块bbb将对应数码管信号送出需要的显示信号;用七段译码器dispa模块进行译码。
将4MHz的访波输入到ccc模块上,输出500Hz提供鸣叫声频。1kHz的方波经fen10模块进行十分频后为秒模块mian、分模块mina、时模块hour,提供时钟信号;用sst模块为整点报时提供控制信号,(当59 50\"、52\"、54\"、56\"、58\"时,q500输出为”1”,秒为00时qlk输出为”1”,这两个信号经过逻辑或门实现报时功能);用sel模块提供数码管片选信号;用模块bbb将对应数码管信号送出需要的显示信号;用七段译码器dispa模块进行译码。-to 4MHz visit to ccc wave input module, the output 500Hz frequency for calls. 1kHz square by the very fen10 frequency module after module mian seconds, the sub-module mina. When module hour, the clock signal; WinCC module used for the entire point timekeeping provide control signals, (When 59 50 ", 52", 54 ", 56", 58 ", q500 output" 1 ", for 00 seconds when qlk output to "1", which signals through two doors or logic to achieve timekeeping function); sel module with a digital control unit elections signal; bbb modules corresponding to the digital signal of the need to send signals show; with paragraph 107 of the decoder for decoding dispa module. 4 MHz will visit wave input module to ccc, output 500 Hz frequency for calls. 1 kHz square wave by fe
Platform: |
Size: 6425 |
Author: 索海铖 |
Hits:
Description: DSP/BIOS Driver Developer Kit 1.11
The DSP/BIOS Driver Developer Kit (DDK) provides a selection of pre-tested DSP/BIOS device drivers, and documentation on how to write a driver to the DSP/BIOS driver model, known as IOM. The DDK includes C source code for all drivers. The DDK 1.11 has been validated with CCS 3.1 and DSP/BIOS 5.20. -DSP / BIOS Driver Developer Kit 1.11 The DSP / BIOS Driver Developer Kit (DDK) provides a sel ection of pre-tested DSP / BIOS device drivers, and documentation on how to write a driver to the DSP / BIOS driver model, known as IOM. The DDK includes C source code for a ll drivers. The DDK 1.11 has been validated with CCS 3.1 and DSP / BIOS 5.20.
Platform: |
Size: 4566796 |
Author: 王野 |
Hits:
Description: it s been design to help the ATM seller to sell the goods easily and convenience.-it's been design to help the ATM seller to sel l the goods easily and convenience.
Platform: |
Size: 6573 |
Author: 黄隽弦 |
Hits:
Description: 使用javascript实现datagrid的复选框全选的功能。
Platform: |
Size: 1763 |
Author: 郝闯 |
Hits:
Description: matlab源程序,自适应中值滤波器算法
Platform: |
Size: 2697 |
Author: chengxuyuan |
Hits:
Description: 美国sel系列继电保护通讯源码,非dnp协议,采用模拟超级终端实现,具有实时电量显示,保护动作记录,故障录波等功能.
Platform: |
Size: 394275 |
Author: 陈涛 |
Hits:
Description: library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_auto1 is
port ( clk:in std_logic; --系统时钟
set,get,sel,finish: in std_logic; --设定、买、选择、完成信号
coin0,coin1: in std_logic; --5角硬币、1元硬币
price,quantity :in std_logic_vector(3 downto 0); --价格、数量数据
item0 , act:out std_logic_vector(3 downto 0); --显示、开关信号
y0,y1 :out std_logic_vector(6 downto 0); --钱数、商品数量显示数据
act10,act5 :out std_logic); --1元硬币、5角硬币
end PL_auto1;
architecture behav of PL_auto1 is
type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0);
signal ram :ram_type; --定义RAM
signal item: std_logic_vector(1 downto 0); --商品种类
signal coin: std_logic_vector(3 downto 0); --币数计数器
signal pri,qua:std_logic_vector(3 downto 0); --商品单价、数量
signal clk1: std_logic; --控制系统的时钟信号
begin
.。。。。。。。。。。。。。
Platform: |
Size: 204288 |
Author: niuyuanlai@163.com |
Hits:
Description: 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示;
2、用ch41a模块将抢答结果转换为二进制数;
3、用sel模块产生数码管片选信号;
4、用ch42a模块将对应数码管片选信号,送出需要的显示信号;
5、用七段译码器dispa模块进行译码。
Platform: |
Size: 1778 |
Author: wei_8866@126.com |
Hits:
Description: 一个游戏
the hardware for the game includes a number of displays, each with a button and
-- a light, that each represent a bin that can store marbles (beans).
--
-- The display indicates the number of marbles in each bin at any given time.
-- The light indecates that the present bin is not empty and that pushing the
-- button is a valid move in the game.
--
-- The button for each display indicates that a player takes the marbles from
-- the selected bin, and takes them in his hand. The hand is represented by a
-- diplay itself (no button).
--
-- Each player has a home bin, located on opposite sides of the game.-a game the hardware for the game includes a nu mber of displays, each with a button and-- a light, that each represent a bin that can store marbles (beans).---- The display indicates the number of marbles in each bin at any given time.-- The li 86-108 indecates that the present empty bin is not a nd that pushing the-- button is a valid move in th e game.---- The button for each display indicat es that a player takes the marbles from-- the sel ected bin, and takes them in his hand. The hand is represent ed by a-- diplay itself (no button).---- Each pl Ayer has a home bin. located on opposite sides of the game.
Platform: |
Size: 5120 |
Author: 李清 |
Hits:
Description: JAVASCRIPT的一个选择类,
大家可以下载看看,这是我的一个作品!-JAVASCRIPT choice of a type, we can look at the downloaded, it is one of my works!
Platform: |
Size: 8192 |
Author: asadf |
Hits:
Description: 计算组合数公式C(ele,sel)=A(ele,sel)/sel!
不用递归实现,放心使用-calculation formula portfolio C (ele, sel) = A (ele, sel)/sel! Recursive not achieved, the use of confidence
Platform: |
Size: 1024 |
Author: 廖月旺 |
Hits:
Description: 与保护、测控设备通讯的DNP3.0规约,与SEL公司部分产品完成通讯
Tornado2.0编译,无故障运行与研华HE-8XX系列主板3年以上
解压密码luckycy-and protection, monitoring and control equipment communications DNP3.0 Statute SEL with the completion of part of the company's communications products Tornado2.0 compiler, fault-free operation with the China Institute HE-8XX series of the Main Board for three years or more extract passwords luckycy
Platform: |
Size: 18432 |
Author: 电气工程师 |
Hits:
Description: SEL DS2020 通讯管理装置通讯说明-SEL DS2020 UG
Platform: |
Size: 480256 |
Author: 鲍鱼 |
Hits:
Description: 美国SEL继电保护装置的技术说明书551很难弄到的呀,很实用的呀-U.S. SEL 551 relay device is difficult to get the technical specifications of ah, ah very useful
Platform: |
Size: 2553856 |
Author: liuhuan |
Hits: