Welcome![Sign In][Sign Up]
Location:
Search - costas vhdl

Search list

[VHDL-FPGA-VerilogNCO_ip

Description: NCO的VHDL程序,是利用IP核生成的,超好的,快下吧-NCO of the VHDL process is the use of nuclear-generated IP, super good, fast, are you
Platform: | Size: 128000 | Author: 张俊 | Hits:

[VHDL-FPGA-Verilogde_PL_MPSK

Description: 基于VHDL硬件描述语言,完成对MPSK调制信号 的解调(这里M=4),即QPSK的解调-Based on the VHDL hardware description language, completion of the MPSK modulated signal demodulation (where M = 4), namely, QPSK demodulation
Platform: | Size: 278528 | Author: 王勇 | Hits:

[VHDL-FPGA-Verilog1

Description: 基于matlab和QuartusII开发的无线通信FPGA设计,内有(matlab代码,Verilog代码,缩略语表.doc)注释详细,代码数十个,总有一个是你喜欢的!-Matlab and QuartusII based on the development of wireless communications FPGA design, there are (matlab code, Verilog code abbreviations. Doc) Notes detail dozens of code, there is always the one you like!
Platform: | Size: 3223552 | Author: 南才北往 | Hits:

[OS programcostas

Description: 科斯塔斯载波同步的实现。采用了V_LOG代码编写~~~~ 可以直接编译使用-Costas carrier synchronization is achieved. Coding used V_LOG ~ ~ ~ ~ can direct the compiler to use
Platform: | Size: 5120 | Author: 刘伟 | Hits:

[VHDL-FPGA-Verilogcotas

Description: Costas环是用来解调双边带抑制载波信号的,也是二相或四相移相键控信号解调的专用环路-Costas loop is used to double sideband suppressed carrier signal demodulation, and also two-phase or four phase shift keying signal demodulation of the special loop
Platform: | Size: 3072 | Author: 陈华 | Hits:

[VHDL-FPGA-VerilogCostasLoop

Description: costas loop in vhdl -costas loop in vhdl ...
Platform: | Size: 84992 | Author: CC83 | Hits:

[VHDL-FPGA-VerilogCostas

Description: 介 绍 了 某 直 接 序 列 扩 频 、QPSK 调 制 系 统 接 收 通 道 中 四 相 Costas 载 波 跟 踪 环 的 原 理 及 其 基 于 DSP+FPGA 的 实 现-Introduced a direct-sequence spread spectrum, QPSK modulation system, receive path Costas carrier tracking loop four-phase principle and its implementation based on DSP+ FPGA
Platform: | Size: 562176 | Author: fy | Hits:

[VHDL-FPGA-Verilogcostas

Description: costas的verilog程序,包含乘法器,DDS,鉴相器,环路滤波器等模块-costas the verilog program, including multipliers, DDS, phase detector, loop filter modules
Platform: | Size: 6144 | Author: 潇潇 | Hits:

[CommunicationLoopFilter

Description: 科斯塔斯环环路滤波器的VHDL实现,仅工参考-VHDL Implementation of Costas Loop the loop filter, the only work of reference
Platform: | Size: 1024 | Author: sheweidong | Hits:

[Communication(costas)max_choice

Description: 科斯塔斯环环路滤波器的VHDL实现,仅供参考-VHDL Implementation of Costas Loop the loop filter, the only work of reference
Platform: | Size: 3072 | Author: sheweidong | Hits:

[Communication-MobileDirect_carrier

Description: 使用vhdl语言编写的costas环实现载波同步。(carrier synchronization)
Platform: | Size: 1125376 | Author: gambit | Hits:

CodeBus www.codebus.net