Welcome![Sign In][Sign Up]
Location:
Search - integer controller

Search list

[Industry researchControlOptimization

Description: In this paper it is studied the modeling and control of a robotic bird. The results are positive for the design and construction of flying robots and a new generation of airplanes developed to the similarity of flying animals. The development of computational simulation based on the dynamic of the robotic bird should allow testing strategies and different algorithms of control such as integer and fractional controllers. Firstly, we provide an overview of the system model secondly, we compare the behaviour of fractional and integer order controllers using different algorithm for the controller optimization in order to obtain the minimum error.-In this paper it is studied the modeling and control of a robotic bird. The results are positive for the design and construction of flying robots and a new generation of airplanes developed to the similarity of flying animals. The development of computational simulation based on the dynamic of the robotic bird should allow testing strategies and different algorithms of control such as integer and fractional controllers. Firstly, we provide an overview of the system model secondly, we compare the behaviour of fractional and integer order controllers using different algorithm for the controller optimization in order to obtain the minimum error.
Platform: | Size: 571392 | Author: MicaelSteel | Hits:

[Communicationrs232communicationwitpic

Description: This Program is Written delphi 7 rs232 communication port with microchip microconroller family rs232 communitiy and 8-bit integer value is pc to pic controller
Platform: | Size: 1024 | Author: teknoman19 | Hits:

[SCMtemperaturecontrollerC51

Description: 基于单片机的温度控制器设计源码(环境温度由两位整数位、两位小数位数码管显示;超温报警。通过实物测试)-Temperature controller design based on single chip source (ambient temperature by two integer bits, two decimal digital display over-temperature alarm. By physical test)
Platform: | Size: 1024 | Author: applecandy | Hits:

[matlab11

Description: 有详细的整数规划源代码,可以用于控制器参数寻优-Detailed integer programming source code, can be used for controller parameters optimization
Platform: | Size: 3072 | Author: 残墨 | Hits:

[Communicationyibutongxun

Description: 用VHDL实现的异步通讯模拟程序和报告。分为控制器,接收器,发射器三部分,其中应用到了异步串行通讯控制器的设计以及非整数分频器的设计。-Asynchronous communication using VHDL simulation procedures and reporting. Divided into the controller, receiver, transmitter three parts, which applied to the design of asynchronous serial communications controller design, as well as non-integer divider.
Platform: | Size: 395264 | Author: 刘云飞 | Hits:

[VHDL-FPGA-VerilogDMA

Description: DMA controller VHDL code entity dma is generic ( ADDR_WIDTH : integer := 16 -- default value DATA_WIDTH : integer := 16 -- default value ) port ( RESET_L : in std_logic CLK : in std_logic DRQ_L : in std_logic DMAACK_L : in std_logic RDY_L : in std_logic DACK_L : out std_logic DMARQ_L : out std_logic WR_L : inout std_logic ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0) DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0) ) end dma -DMA controller VHDL code entity dma is generic ( ADDR_WIDTH : integer := 16 -- default value DATA_WIDTH : integer := 16 -- default value ) port ( RESET_L : in std_logic CLK : in std_logic DRQ_L : in std_logic DMAACK_L : in std_logic RDY_L : in std_logic DACK_L : out std_logic DMARQ_L : out std_logic WR_L : inout std_logic ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0) DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0) ) end dma
Platform: | Size: 2048 | Author: Vlad | Hits:

[Othercontroller

Description: 1输入四个整数,输出其中最大的数与次大数。 2.输入五门学科的成绩(整数),计算出总分和平均分,平均保留一位小数,输出结果。根据平均分划分等级(E:0-59、D:60-69、C:70-79、B:80-89、A:90-100)使用(if和switch分别实现)。 Choice/10 求 3.输入一个合法的正整数,求出它是几位数;分别打印出每一位数字,按逆序输出各位上的数字. a 10 4. a/10 5.输出1~100之间的偶数,5个数字一行输出。 6.使用循环输出九九乘法表(注意排版) -1 Enter the four integers, the output of which the maximum number of times large numbers. Enter the five academic achievements (integer), to calculate the total score and the average score, on average retain a decimal output. (70-79, B:: 80-89, A: 90-100 E: 0-59, D: 60-69, C) use (if and switch were achieved) sub-divided according to the average rating. Choice/10 demand 3 Enter a valid positive integer, which is determined by several number were printed out every number in reverse order on the output figures you a 10. 4. a/10 5.Output even, five digital output line between 1 to 100. 6. loop output multiplication table (note typesetting)
Platform: | Size: 1266688 | Author: 爱珍 | Hits:

[Driver DevelopWinTcpS7_1K

Description: PC高级语言VB、C#等与西门子S7-1200可编程控制器直接通讯,采用S7协议,无须PLC内编程配合,通过普通网口直接读取S7-1200的数据,包括I、Q、M、DB,数据类型包括浮点、双整型等。-PC high-level language VB, C# and other Siemens S7-1200 programmable controller direct communication, using S7 protocol, without PLC programming with, through the common network port directly read S7-1200 data, including I, Q, M, DB , Data types including floating point, double integer and so on.
Platform: | Size: 982016 | Author: effect | Hits:

[Driver DevelopWinTcpS7_1k

Description: PC高级语言VB、C#等与西门子S7-1200可编程控制器直接通讯,采用S7协议,无须PLC内编程配合,通过普通网口直接读取S7-1200的数据,包括I、Q、M、DB,数据类型包括浮点、双整型等。--PC high-level language VB, C# and other Siemens S7-1200 programmable controller direct communication, using S7 protocol, without PLC programming with, through the common network port directly read S7-1200 data, including I, Q, M, DB , Data types including floating point, double integer and so on.
Platform: | Size: 3603456 | Author: effect | Hits:

[SCMNFOC

Description: 这个程序是关于遗传算法的,对于整数阶PID控制器的程序(This program is about genetic algorithm, for integer order PID controller program)
Platform: | Size: 2048 | Author: 123yydd | Hits:

CodeBus www.codebus.net