Welcome![Sign In][Sign Up]
Location:
Search - mesh verilog

Search list

[VHDL-FPGA-Verilogrouter_routing

Description: 片上网络NOC基于fpga实现的,routing模块。-NOC-chip networks realized fpga-based, routing module.
Platform: | Size: 1024 | Author: 巴音 | Hits:

[VHDL-FPGA-Verilogmesh_dft

Description: 自己写一个关于维mesh结构的noc网络,verilog,仿真结果无误。-Write their own structure on the noc-dimensional mesh network, verilog, accurate simulation results.
Platform: | Size: 95232 | Author: 巴音 | Hits:

CodeBus www.codebus.net