Welcome![Sign In][Sign Up]
Location:
Search - mesh_dft

Search list

[VHDL-FPGA-Verilogmesh_dft

Description: 自己写一个关于维mesh结构的noc网络,verilog,仿真结果无误。-Write their own structure on the noc-dimensional mesh network, verilog, accurate simulation results.
Platform: | Size: 95232 | Author: 巴音 | Hits:

CodeBus www.codebus.net