Welcome![Sign In][Sign Up]
Location:
Search - sram test

Search list

[Other resourcehanbaosram

Description: 德国汉堡大学的SRAM测试代码,使用VHDL编写,供大家参考-University of Hamburg, Germany, SRAM test code, the use of VHDL, for your reference
Platform: | Size: 5943 | Author: 汪涌 | Hits:

[Other resourcetom08

Description: SRAM 视频采集测试程序 读写时序控制 为解决时钟切换而做的测试程序-SRAM test sequential read and write control procedures to resolve the clock switching out of the test procedure
Platform: | Size: 149295 | Author: 刘留 | Hits:

[Other51汇编程序1ASM

Description: 本程序用于测试实时时钟模块SD2000的SRAM存储器D/E系列, 程序功能如下: 1. 关闭/INT1及/INT2的中断输出 2. 初始化时间(写时间数据) 3. 在BREAKPOINT1设断点时,依次读时间-写SRAM数据-读SRAM数据循环 4. 全速执行时,LED四位分别显示小时和分钟的值-procedures used to test the real-time clock module SD2000 SRAM memory D/E Series, procedures following functions : 1. Closed/INT1 and/INT2 the interruption of output 2. Initialization time (the time to write data) 3. Located in BREAKPOINT1 breakpoint, followed by time for-write SRAM data-reading cycle of four data SRAM. full speed of implementation, respectively, four LED display hours and minutes values
Platform: | Size: 4096 | Author: 小顽童 | Hits:

[VHDL-FPGA-Veriloghanbaosram

Description: 德国汉堡大学的SRAM测试代码,使用VHDL编写,供大家参考-University of Hamburg, Germany, SRAM test code, the use of VHDL, for your reference
Platform: | Size: 6144 | Author: 汪涌 | Hits:

[VHDL-FPGA-Verilogvideodigitalsignalscontroller

Description: 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
Platform: | Size: 8192 | Author: yan | Hits:

[SCMC8051_SRAM_rw_test

Description: 摘 要:本文件是C8051单片机外部SRAM读写测试程序 使用串口观测测试结果,使用外部22.1184MHz晶振.-Abstract : This document is C8051 MCU external SRAM literacy test procedures using serial observation test results use of external 22.1184 MHz oscillator.
Platform: | Size: 76800 | Author: | Hits:

[VHDL-FPGA-Verilogtom08

Description: SRAM 视频采集测试程序 读写时序控制 为解决时钟切换而做的测试程序-SRAM test sequential read and write control procedures to resolve the clock switching out of the test procedure
Platform: | Size: 149504 | Author: 刘留 | Hits:

[Other Embeded programepp_sram

Description: verilog语言编写的FPGA代码。功能为pc机通过epp不断写数到sram中,然后pc发送中断信号打断写过程读取sram中的数据。rar包中包含epp协议,模块文件和测试文件(test)。-Verilog FPGA code languages. Pc machine functions through a number of epp constantly write to the SRAM, and then pc send interrupt signals to interrupt the process of writing to read the data in the SRAM. rar package includes epp agreement, modules and test documents (test).
Platform: | Size: 43008 | Author: 苗苗 | Hits:

[Other Embeded programSRAM+LCD

Description: AT89C58单片机 外部SRAM测试+LCD显示 C语言-AT89C58 single-chip external SRAM test+ LCD display C language
Platform: | Size: 15360 | Author: chengpeng | Hits:

[DSP programsram

Description: 2407外扩SRAM的读写程序,通过对相应单元进行读写测试器件-2407 outside the extended SRAM process of reading and writing, through reading and writing the corresponding unit test device
Platform: | Size: 16384 | Author: 吴乐 | Hits:

[DSP programsram

Description: ccs下用来测试davinci板子的led模块的工程-ccs under davinci board used to test the led module works
Platform: | Size: 80896 | Author: sarah.tang | Hits:

[VHDL-FPGA-Verilogzbt_test

Description: zbt sram测试VHDL程序,实现了FPGA与ZBT SRAM之间的接口控制,在FPGA内能实现对ZBT SRAM读写-vhdl program for ZBT SRAM test
Platform: | Size: 16384 | Author: lailai | Hits:

[DSP programSourceCode2_dma_xintf_to_ram_OK

Description: dsp设计 SRAM测试 ccs开发环境 -dsp design development environment SRAM test ccs
Platform: | Size: 560128 | Author: 孙静 | Hits:

[VHDL-FPGA-VerilogSRAM

Description: 进阶实验之SRAM测试,由verilog编写,可直接对sram进行存写-Advanced SRAM test experiments, written by the verilog, can be stored directly on the sram write
Platform: | Size: 735232 | Author: 林爻 | Hits:

[VHDL-FPGA-Verilogsram-test

Description: 静态RAM 的读写操作 测试文件,很适合初学者和相关工程人员-SRAM test DOC for engineer
Platform: | Size: 1024 | Author: 陈凯 | Hits:

[Otherverilog_SRAM-test

Description: verilog 进阶实验_SRAM:SRAM 测试-verilog Advanced experimental _SRAM: SRAM test
Platform: | Size: 715776 | Author: cheguangning | Hits:

[Other外部SRAM实验 512K

Description: 芯片stm32f103 IS62WV25616 测试(Chip STM32F103 IS62WV25616 test)
Platform: | Size: 3440640 | Author: 1038469668 | Hits:

[SCMSRAM 简单测试

Description: SRAM简单测试,测试其性能及其速度,判断SRAM是否可用(Test its performance and speed to determine if SRAM is available)
Platform: | Size: 1078272 | Author: 大小123 | Hits:

[Windows DevelopSRAM

Description: SRAM读写测试实例,每秒钟进行一次单字节的SRAM 读和写操作,用chipscope查看时序波形。(SRAM read and write test instances, each time a single byte SRAM Read and write operations, use chipscope to see the timing waveform.)
Platform: | Size: 1340416 | Author: 航天梦 | Hits:

[OtherSRAM

Description: SRAM的Verilog和VHDL的测试代码(Verilog/VHDL code for sram test)
Platform: | Size: 354304 | Author: diss | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net