Welcome![Sign In][Sign Up]
Location:
Search - vhdl gold

Search list

[VHDL-FPGA-VerilogVerilog-golden

Description: VHDL黄金版,本人费了九牛才找到,帮助初学者入门-VHDL version, I spent nine cattle to find help beginners entry
Platform: | Size: 203776 | Author: 江涛 | Hits:

[matlabpn_generator

Description: PN码发生器的matlab程序,对于写vhdl代码有很重要得参考价值-PN code generator matlab procedures, write VHDL code for a very important reference value was
Platform: | Size: 1024 | Author: ylt | Hits:

[Game Programjianjinbi

Description: 自己做的接金币小游戏,下载测试过没有太大问题,仿真波形文件也有(要用到三色点阵板)-Access to do their own gold game, download tested that there is no problem, simulation waveform files also have (to use the tri-color dot matrix board)
Platform: | Size: 13312 | Author: 周薇 | Hits:

[VHDL-FPGA-Verilogpn

Description: 用Verilog语言生成7位的小m序列,产生pn码-Verilog language used to generate seven small m sequence code generated pn
Platform: | Size: 2048 | Author: 楚鹤 | Hits:

[VHDL-FPGA-VerilogVHDL_GOLD_BOOK

Description: VHDL黄金宝典,VHDL设计的好助手,看看吧-VHDL GOLD BOOK,CLASSICAL RULL ABOUT THE VHDL DESIGN
Platform: | Size: 181248 | Author: dragon | Hits:

[VHDL-FPGA-Veriloggold_code_vhd_217

Description: Gold Code Generators in Virtex Devices
Platform: | Size: 5120 | Author: wangfeng | Hits:

[VHDL-FPGA-VerilogXAPP217

Description: Gold Code Generators in Virtex Devices
Platform: | Size: 4096 | Author: ryan | Hits:

[GPS developGold

Description: 用VHDL语言实现GOLD码发生器,是一个工程文件,已在quartusII软件下进行了仿真。-VHDL language using code generator GOLD.It is very useful.
Platform: | Size: 207872 | Author: guot | Hits:

[VHDL-FPGA-Veriloggold_code

Description: Gold code project with VHDL files
Platform: | Size: 1536000 | Author: Vas | Hits:

[VHDL-FPGA-Verilogmy_gold

Description: 基于FPGA的gold码发生器,用VHDL语言编写的源程序。-The gold code generator based on FPGA, VHDL language with the source.
Platform: | Size: 1024 | Author: 流星 | Hits:

[OtherThe-vhdl-gold-reference-guide

Description: 是一个公司内的资料,介绍了vhdl的常用语法结构,并说明了一些平时很少注意到的问题,是对vhdl学习的很好补充-A company' s information on the vhdl common grammatical structure, and explains some of the problems usually little attention is a good supplement to learn vhdl
Platform: | Size: 182272 | Author: lideli5 | Hits:

[VHDL-FPGA-VerilogVHDL_learning

Description: VHDL学习资料,适合入门者快速提高,包括VHDL基本语句讲解,VHDL编程黄金宝典和100个VHDL设计范例。-VHDL learning materials, suitable for beginners to quickly improve, including statements to explain the basic VHDL, VHDL programming, and 100 Gold Collection VHDL design examples.
Platform: | Size: 560128 | Author: wushumin | Hits:

[VHDL-FPGA-VerilogGOLD_VHDL

Description: 论文讨论的是基于VHDL 实现在系统编程平衡GOLD 码逻辑电路设计,给 出周期与相位可编程平衡GOLD 码生成电路设计方案。该方案由最长线性移位寄存器 与可选反馈支路构成。-Discussion paper is based on VHDL programming to achieve a balance in the system logic circuit design GOLD code given cycle and phase balance GOLD programmable code generation circuit design. The program consists of the longest linear feedback shift register with the optional slip form.
Platform: | Size: 108544 | Author: wendy | Hits:

[VHDL-FPGA-Veriloggold_VHDL

Description: 一个用vhdl语言编写的程序,可以实现gold码的发生-A program using vhdl language, can the occurrence of gold codes
Platform: | Size: 241664 | Author: alice | Hits:

[VHDL-FPGA-Veriloggold

Description: 基于vhdl语言的15位gold序列的设计的开端一部分程序-Vhdl language based on sequences of the 15 gold as part of the beginning of the design process
Platform: | Size: 1024 | Author: 任东安 | Hits:

[VHDL-FPGA-Verilogvhdl-golden-reference-guide

Description: 一本介绍vhdl的经典黄金指导书,附有详细的实验代码-An introduction vhdl classic gold guide books, with detailed experimental code
Platform: | Size: 182272 | Author: wangjohn | Hits:

[VHDL-FPGA-VerilogDEMO_V

Description: 黑金FPGA开发板(学生)测试程序 VHDL语言 包括led 按键 串口 lcd的检测-Black Gold FPGA development board (student) test procedures VHDL language, including the detection of serial lcd led key
Platform: | Size: 125952 | Author: zhang | Hits:

[VHDL-FPGA-VerilogVHDLgoldbook

Description: VHDL黄金参考手册,能让你更好的学习了解VHDL语言-VHDL gold reference manual, can make you a better learn VHDL language
Platform: | Size: 182272 | Author: xia ting | Hits:

[Communication-Mobilepseudo-sequence-vhdl

Description: 常用的几种伪随机序列的仿真及性能分析,进而运用组合序列的思想,尝试不同的序列以不同组合方式生成的新的伪随机序列,并用FPGA分析其性能,得出组合序列的一般的规律,借此推导出了一种新的组合序列——异族Gold组合序列。-Through simulation and performance analysis of several commonly use¬ d pseudo-random sequence in the FPGA environment, use the ideas of combina¬ tion sequence and try different sequences generated by different combinations ofpseudo-¬ ran¬ d¬ om sequence, and then analyze its performance by using MATLAB. So we get the general laws of combination of sequences to deduce a new combination sequen¬ ce name¬ d interracial Gold combination sequence.
Platform: | Size: 4096 | Author: 杨远望 | Hits:

[VHDL-FPGA-Verilogpseudo-random-number-VHDL

Description: 伪随机序列发生器的vhdl软件,有m序列和gold序列的算法-pseudo random number generator
Platform: | Size: 46080 | Author: gone | Hits:
« 12 »

CodeBus www.codebus.net