Welcome![Sign In][Sign Up]
Location:
Search - multiplexer

Search list

[Internet-NetworkGsmMux

Description: 基于G.0710的多路复用实例!请注意阅读readme-Multiplexer based on G.0710 instance! Please read the readme note
Platform: | Size: 45056 | Author: qiaoguopeng | Hits:

[Software EngineeringALU-design

Description: Design ALU using a multiplexer
Platform: | Size: 336896 | Author: ahlyzamalek | Hits:

[SCMTimer_Simulation_PWM

Description: 用定时器模拟多路PWM,减少mega16 32定时器少的缺点.内有注释-Analog multiplexer with a timer PWM, reducing mega16 32 timer few shortcomings. There are comments
Platform: | Size: 11264 | Author: Niko | Hits:

[Embeded Linuxmain6

Description: 嵌入式编程中 多路复用的编程,包括标准输入时的多路复用-Multiplexed programming in embedded programming, including the standard input of the multiplexer
Platform: | Size: 1024 | Author: 周东民 | Hits:

[Communication-MobileMultiplexer

Description: An app used for controlling an embeedded board board with Atmel micro-controller and array of ADC channels. Communications inplemented through serial PC port. Visual .NET C++ application. -An app used for controlling an embeedded board board with Atmel micro-controller and array of ADC channels. Communications inplemented through serial PC port. Visual .NET C++ application.
Platform: | Size: 4003840 | Author: saulius0317 | Hits:

[ELanguagemultiplexer

Description: it is a new theory of decoder which describes all function of decoder and all fundamental things of decoder which is used in digital electronics
Platform: | Size: 24576 | Author: rain | Hits:

[VHDL-FPGA-Verilog41MUX

Description: VHDL code for 4x1 Multiplexer using structural style
Platform: | Size: 8192 | Author: 00a5000 | Hits:

[SCMmain

Description: STM32_ADC_DMASTM32的每个ADC模块通过内部的模拟多路开关,可以切换到不同的输入通道并进行转换。STM32特别地加入了多种成组转换的模式,可以由程序设置好之后,对多个模拟通道自动地进行逐个地采样转换。-STM32_ADC_DMASTM32 Each ADC module through an internal analog multiplexer switch, you can switch to different input channels and convert. STM32 particular group to join a variety of conversion into the model, the program can be set up, on a number of analog channels to be automatically converted one by one sample.
Platform: | Size: 3072 | Author: 赵连睿 | Hits:

[VHDL-FPGA-VerilogMIPS-Parts

Description: // * Data Memory and IO: This is the data memory, and some IO hardware // * 8x16 register file: eight 16-bit registers // * 16-bit ALU // * 2:1 16-bit Multiplexer // * Sign extender from 7 to 16 bits // * 4:1 16-bit Multiplexer-// * Data Memory and IO: This is the data memory, and some IO hardware // * 8x16 register file: eight 16-bit registers // * 16-bit ALU // * 2:1 16-bit Multiplexer // * Sign extender from 7 to 16 bits // * 4:1 16-bit Multiplexer
Platform: | Size: 2048 | Author: Billy Bob | Hits:

[VHDL-FPGA-VerilogtestbenchHw9-Parts-CombCirc

Description: // Testbench for the following parts found in // MIPS-Parts.V // * 2:1 multiplexer // * 4:1 multiplexer // * Sign extender // * ALU
Platform: | Size: 1024 | Author: Billy Bob | Hits:

[Internet-Networklinux-chatroom

Description: 基于多路复用的聊天室。利用了共享内存等等。包括客服端和服务器端-Multiplexer-based chat rooms. Use of shared memory, and so on. Including the customer service side and server-side
Platform: | Size: 3072 | Author: 张伟 | Hits:

[VHDL-FPGA-Verilog8xuanyi

Description: quartus 8选一 多路选择器 程序-8 choose a multiplexer process
Platform: | Size: 149504 | Author: 刘天鸣 | Hits:

[VHDL-FPGA-Verilogduolufuyongqi

Description: 1. 学习使用 ISE 软件,并用VHDL 语言设计多路复用器; 2. 使用 USB 电缆下载逻辑电路到FPGA,并能根据电路原理调试电路使其正常工作; 3. 掌握数字电路集成设计的过程-1 Learn to use ISE software, and design using VHDL language multiplexer (2) using a USB cable to download logic to the FPGA, and debug circuit according to circuit theory it to work 3 the digital integrated circuit design process. .
Platform: | Size: 87040 | Author: 杨明 | Hits:

[Internet-NetworkEpoll_webserver

Description: 使用epoll系统调用监听多个网络连接,实现简单Web服务器-Use epoll system call multiplexer monitor descriptor fd, simple Web server
Platform: | Size: 2048 | Author: 龚康 | Hits:

[VHDL-FPGA-Verilogmux_4d

Description: 利用元件例化设计的4位4选一数据选择器。(包含了一位四选一数据选择器的设计)-Use components instantiated design four 4 choose a data selector. (including a four choose a multiplexer design)
Platform: | Size: 161792 | Author: 伍利衡 | Hits:

[Mathimatics-Numerical algorithms34rwe

Description: 一种新型多模干涉型光子晶体波分解复用器_英文_.-A new multi-mode interference photonic crystal wave decomposition English multiplexer _ _.
Platform: | Size: 583680 | Author: win | Hits:

[Program doc00474082

Description: SDH STM-1 Add-Drop Multiplexer Architecture
Platform: | Size: 273408 | Author: sundeep | Hits:

[Program docMuxDemux_E1_E3

Description: Multiplexer / Demultiplexer : E1 to / from E3 according ITU-T G.703 / G.742 / G.751
Platform: | Size: 64512 | Author: sundeep | Hits:

[VHDL-FPGA-Verilogdatasheet

Description: 16 bit multiplexer datasheet
Platform: | Size: 282624 | Author: pradeep | Hits:

[VHDL-FPGA-Verilogmux21gr

Description: 毛刺信号被消除的2选1多路复用器,a,b是输入,s是选择信号,y是输出。-Burr signal is eliminated 2 to 1 Multiplexer
Platform: | Size: 5120 | Author: 709409701 | Hits:
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 17 »

CodeBus www.codebus.net