Welcome![Sign In][Sign Up]
Location:
Search - counter

Search list

[matlabcounter

Description: 本例源代码文件由用户按照书中的操作步骤自己生成,“Example-2-1\Project_Navigator_Demo\源代码”目录下为源代码的参考文件。“Example-2-1\Project_Navigator_Demo\counter”目录下为完整的工程,包括源代码文件、综合与实现的结果文件、ISE工程文件等,可以使用ISE工程管理器打开工程,供读者参考-In this case the source code files by the user in accordance with the steps the book itself is generated, "Example-2-1 \ Project_Navigator_Demo \ source" directory as the source code reference document. "Example-2-1 \ Project_Navigator_Demo \ counter" directory for a complete project, including source code files, integrated with the realization of the outcome document, ISE project file, etc. You can use ISE Project Manager, open the project for the reader is referred to
Platform: | Size: 108544 | Author: liutao | Hits:

[VHDL-FPGA-Verilogcounterfour

Description: verilog code for counter four
Platform: | Size: 1024 | Author: vmreddy | Hits:

[VHDL-FPGA-Verilogcounter

Description: Counter written in VHDL.
Platform: | Size: 92160 | Author: Matheus | Hits:

[Embeded-SCM Developcounter

Description: counter -microblaze-counter-microblaze......
Platform: | Size: 948224 | Author: ur | Hits:

[VHDL-FPGA-Verilogbcd_updown_counter2

Description: It is a simple 4-digit bcd up down counter written in verilog
Platform: | Size: 1024 | Author: jason | Hits:

[assembly languagepinluji

Description: 基于89C51单片机控制的频率计数器原代码显示记数程序-Based on 89C51 microcontroller-controlled frequency counter counting procedures for the original code shows
Platform: | Size: 2048 | Author: 程世节 | Hits:

[VHDL-FPGA-VerilogDECADE

Description: Decade Counter in VHDL using Xilinx tool
Platform: | Size: 576512 | Author: dhiraj | Hits:

[VHDL-FPGA-Verilogripple_carry_counter

Description: verilog 语言的简单的4为脉动进位计数器,附带仿真的激励块-verilog language into a simple 4-bit counter for the pulse, with the incentive simulation block
Platform: | Size: 398336 | Author: qirui | Hits:

[OtherWEEDER_FREQUENCY_COUNTER

Description: 16f84 weeder frequency counter
Platform: | Size: 277504 | Author: mehmet | Hits:

[OtherCounter

Description: 整数四则混算横式计算器(不支持括号计算)-It is a counter
Platform: | Size: 1889280 | Author: 张民衷 | Hits:

[Windows DevelopCounter

Description: Counter 8-bit it count 8 bits
Platform: | Size: 81920 | Author: Ensan | Hits:

[VHDL-FPGA-Verilogcounter

Description: this the 1 bit counter clock where the counter increase by 1 on rising edge clock-this is the 1 bit counter clock where the counter increase by 1 on rising edge clock
Platform: | Size: 106496 | Author: law | Hits:

[Delphi/CppBuildercounter

Description: 计算器,可以用来进行简单的数据的计算,例如,加法,乘法,除法,减法-the counter can counte the Simple data ,for example,Addition,Subtraction,Multiplication,Division
Platform: | Size: 9216 | Author: | Hits:

[SCMcounter

Description: 外部脉冲输入,计算脉冲个数,实现参数计数器,可保存实时数,三极管输出和可控硅输出,继电器输出-counter
Platform: | Size: 36864 | Author: huangyongxian | Hits:

[VHDL-FPGA-VerilogDDS

Description: VHDL经典设计 十进制 VHDL 频率计-VHDL classic design metric VHDL frequency counter
Platform: | Size: 277504 | Author: 刘思行 | Hits:

[VHDL-FPGA-Verilogdigitalwatch

Description: Describe: This VHDL digital clock, the use of digital control and FPGA design to achieve a number of counter clock, show hours, minutes ,seconds and alarm. The procedure depends on the metric system and consider six decimal counter preparation. The experimental procedure in the source code for a detailed Notes-Describe: This is VHDL digital clock, the use of digital control and FPGA design to achieve a number of counter clock, show hours, minutes ,seconds and alarm. The procedure depends on the metric system and consider six decimal counter preparation. The experimental procedure in the source code for a detailed Notes
Platform: | Size: 92160 | Author: eric carmen | Hits:

[VHDL-FPGA-Verilogcounter

Description: 此代码是一个小的计数器,主要驱动FPGA开发板上的LED灯的亮灭。-This code is a small counter, the main driver FPGA development board bright LED lights eliminate.
Platform: | Size: 155648 | Author: 左朋莎 | Hits:

[VHDL-FPGA-Verilogtutorial

Description: 计数器 平台:Xilinx ise 10.1 说明:和ise10.1快速帮助手册配套的源码,适用于初学者。-counter platform: Xilinx ise 10.1 comment: supplement to ise quick start tutorial 10.1, suitable for freshman to fpga and ise software.
Platform: | Size: 310272 | Author: kn | Hits:

[matlabNumarator

Description: counter counter-counter counter counter
Platform: | Size: 19456 | Author: gpower | Hits:

[Othercnt8

Description: 用JK-flip-flop做的8进制counter-mod-8-counter
Platform: | Size: 385024 | Author: suhang | Hits:
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

CodeBus www.codebus.net