Welcome![Sign In][Sign Up]
Location:
Search - bcd

Search list

[Compress-Decompress algrithmstelnumM

Description: BCD码压缩解压缩BCD码用四位二进制数表示一位十进制数-BCD decompress compressed using BCD four binary said a few decimal number
Platform: | Size: 11264 | Author: 鲁昕 | Hits:

[SCMbcd_conv

Description: convert.asm: 1.From ASCII resp. BCD to binary 2.From binary to ASCII resp. BCD 3.From binary to Hex-ASCII Bin_Bcd.c: uchar BcdToBin(uchar val) uchar BinToBcd(uchar val) -convert.asm : 1.From ASCII resp. BCD 2.From binary to binary t o ASCII resp. BCD 3.From binary to Hex-ASCII Bin _Bcd.c : uchar BcdToBin (uchar val) uchar BinToBcd (uch ar val)
Platform: | Size: 5120 | Author: jack | Hits:

[Other Embeded programcounter_7seg

Description: 带分频器的bcd计数电路设计,verilog源码-dividers with the bcd count circuit design, Verilog source
Platform: | Size: 292864 | Author: 倪璠 | Hits:

[Crack Hackbcd_byte

Description: bcd转换算法用于bcd转换操作,欢迎下载-bcd conversion algorithm for bcd conversion, welcome to download
Platform: | Size: 1024 | Author: 驱逐倭寇 | Hits:

[SCMcode_change

Description: 单片机编成中常用的几种码制转换BCD,HEX,BIN-SCM organization of several kinds of BCD code system conversion, HEX, BIN
Platform: | Size: 1024 | Author: 张强 | Hits:

[TreeViewdsdad

Description: 关于bcd码加法器 第一个是一位的 通过了 第二个是四位的没通过?赜赽cd码加法器 第一个是一位的 通过了 第二个是四位的没通过-on bcd yards Adder is a section through one of the second of four is not the adoption of codes increases bcd instruments used in a section of the passage of a second four is not the adoption of bcd yards Adder No. 1 is the adoption of a the second of four is not adopted
Platform: | Size: 6144 | Author: 齐然 | Hits:

[Proxy Serverhextobcd

Description: BCD码与16进制转化的汇编程序,比较不错。-BCD with 16 band into the compilation process, quite good.
Platform: | Size: 1024 | Author: 李志强 | Hits:

[VHDL-FPGA-Verilogbinary_to_bcd.tar

Description: binary_to_bcd is used for translating from binare to bcd.-binary_to_bcd is used for translating fro m binare to bcd.
Platform: | Size: 45056 | Author: | Hits:

[SCM16bitstoBCD

Description: 比较方便的实现了16位码到BCD码的转换,拿来和大家分享。-quite easy to achieve the 16 yards to the BCD conversion, and we used to share.
Platform: | Size: 43008 | Author: huxud | Hits:

[Static controlclock2001

Description: 时钟模块之一:二进制转BCD码verilog源代码FPGA advantage编程环境-clock module : BCD switch binary source code Verilog FPGA advantage programming environment
Platform: | Size: 1024 | Author: dandan | Hits:

[SCMasic2BCDcode

Description: 这是一个BCD码的加法的实现程序..用途很广在单片机领域-This is a BCD addition to the realization of the procedure .. uses a wide area in SCM
Platform: | Size: 8192 | Author: 江明 | Hits:

[VHDL-FPGA-Verilogbinary2bcd

Description: This build is for developing a "binary-to-BCD" converter for use in // displaying numerals in base-10 so that people can read and interpret the // numbers more readily than they could if the numbers were displayed in // binary or hexadecimal format. Also, a "BCD-to-binary" converter is // tested in this build.-This build is for developing a "binary-to- BCD "converter for use in// displaying numeral 's in base-10 so that people can read and interpre not the// numbers more readily than they could if t he numbers were displayed in// binary or hexade cimal format. Also, a "BCD- to-binary" converter is// tested in stories 's build.
Platform: | Size: 41984 | Author: 陈朋 | Hits:

[Algorithmfdzh

Description: 浮点转换器,可以对十进制定点数、浮点BCD码和二进制浮点操作数之间进行相互转换。-float converters, and can set the decimal points. BCD floating-point and binary floating-point operation between several mutual conversion.
Platform: | Size: 190464 | Author: 冯明春 | Hits:

[VHDL-FPGA-Verilogctfysj

Description: 3-8译码器,BCD码转换10进制,计数器-3-8 decoder, 10 BCD switch 229, counter, etc.
Platform: | Size: 8192 | Author: Gem | Hits:

[Documentsbcd2bin

Description: BCD码和二进制码转换的硬件实现.pdf-BCD code and binary code conversion hardware. Pdf
Platform: | Size: 140288 | Author: 张三 | Hits:

[Embeded-SCM Developcpld_circuit

Description: 基于CPLD的二进制码转换为二十进制(BCD)码的电路[1].pdf-based CPLD binary code into two decimal (BCD) code circuit [1]. Pdf
Platform: | Size: 155648 | Author: 张三 | Hits:

[VHDL-FPGA-VerilogVHDL_Development_Board_Sources

Description: 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development of the plate diagram, You hope to be a good help! which states : eight priority encoder, multipliers, multi-path selectors, BCD binary switch, adder, subtraction device, the simple state machine, four comparators, seven of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng, traffic lights, Digital Clock.
Platform: | Size: 4642816 | Author: Jawen | Hits:

[File Format10toBCDsuanfa

Description: 这是10进制转化到BCD码的三种算法,有需要的用户就请下载。-This is 10 to 229 BCD conversion of the three algorithms, the need for users to download.
Platform: | Size: 1024 | Author: 副类 | Hits:

[SCMASM_51

Description: 8个汇编程序,包括进制转换,BCD码转换,中值滤波,浮点数运算等,适合做8位单片机的朋友们。 -eight compilation procedures, including 229 conversion, BCD conversion, filtering, floats, etc., suitable for 8-bit MCU friends.
Platform: | Size: 4096 | Author: 张朋 | Hits:

[VHDL-FPGA-Verilogcount16

Description: count16.vhd 16位BCD计数器VHDL源程序-count16.vhd 16 BCD counter VHDL source
Platform: | Size: 1024 | Author: 杨奎元 | Hits:
« 1 2 3 4 56 7 8 9 10 ... 50 »

CodeBus www.codebus.net