Welcome![Sign In][Sign Up]
Location:
Search - bcd

Search list

[SCM4_in_1_converter

Description: this program can convert binary --> gray binary --> BCD BCD --> XS3 Gray --> binary... inputs will be of 4 bits for each converter.. If you have any doubt,then mail me at prem_bombay@yahoo.co.in -this program can convert binary--> gray binary--> BCD BCD--> XS3 Gray--> binary... inputs will be of 4 bits for each converter.. If you have any doubt,then mail me at prem_bombay@yahoo.co.in
Platform: | Size: 1024 | Author: Sumit | Hits:

[assembly languagebinarytobcd

Description: binary to bcd which converts 8bit binary input to bcd -binary to bcd which converts 8bit binary input to bcd
Platform: | Size: 3072 | Author: suri | Hits:

[VHDL-FPGA-VerilogSeven-Segment-Decoder

Description: 用VHDL语言在FPGA上实现将十进制bcd码转换成七段led显示码-FPGA using VHDL language to achieve will be converted to decimal bcd yards led seven segment display code
Platform: | Size: 1024 | Author: 吴金通 | Hits:

[VHDL-FPGA-Verilogbcd_updown_counter2

Description: It is a simple 4-digit bcd up down counter written in verilog
Platform: | Size: 1024 | Author: jason | Hits:

[VHDL-FPGA-Verilogbcd_adder

Description: verilog code for bcd adder
Platform: | Size: 10240 | Author: sandeep | Hits:

[SCM6-BCD

Description: 这是一个关于BCD码与二进制转换的程序,希望对大家有所帮助-BCD
Platform: | Size: 1024 | Author: 刘鹏辉 | Hits:

[VHDL-FPGA-Verilogxq_Test7

Description: VHDL语言编写一个BCD计数器并在七段显示数码管上显示的程序,实现了动态扫描,而且很好用-VHDL language a BCD counter and in the seven-segment display digital tube display process to achieve a dynamic scanning, and it just works
Platform: | Size: 144384 | Author: 夏强 | Hits:

[assembly languagebcd

Description: 3位BCD码转换成5位BCD码子程序-3 BCD code BCD converted into five distinctly different procedures
Platform: | Size: 1024 | Author: yugioh | Hits:

[assembly languagebcd

Description: 汇编语言bcd乘法源代码 代码正确可以直接运行-Assembly language source code for bcd multiplication can be directly run correctly
Platform: | Size: 1024 | Author: 邓金桃 | Hits:

[assembly language16jinzhizhuanh

Description: 将给定的一个十六进制数,转换成十进制(BCD)码-Given in a hexadecimal number into decimal (BCD) code
Platform: | Size: 18432 | Author: | Hits:

[SCMHEXtoBCD

Description: 在目前所发表的十六进制转化成BCD码的处法中,一般都采用移位相加的方法,其计算过程都比较费时,不适宜很多场合,且很多不适宜C语言调用。而在C语言中,有些初学者用求余的方法来做这种运算,造成程序十分臃肿。下面这段程序仿照竖式除法来进行运算,速度超快。-Published in the current hex code into a BCD at law, in general, have adopted the method of shifting the sum of its calculation is relatively time-consuming and not suitable for many occasions and many of them not suitable for C language calls. In the C language, some beginners seeking more than the method used to do this operation, resulting in a very cumbersome process. This procedure along the lines of the following vertical division to carry out operations, super-fast.
Platform: | Size: 1024 | Author: 高先生 | Hits:

[Otherseven_seg_decoder

Description: ITS A verilog HDL code for seven segment display .. on different FPGA there are seven segment displays available .. any number from 0 to 9 can be displayed on it .. using this decoder a BCD input is required .. that would be decoded to seven segment display. different LEDS need to be lighted for displaying no. -ITS A verilog HDL code for seven segment display .. on different FPGA there are seven segment displays available .. any number from 0 to 9 can be displayed on it .. using this decoder a BCD input is required .. that would be decoded to seven segment display. different LEDS need to be lighted for displaying no.
Platform: | Size: 1024 | Author: hassan | Hits:

[File Formatbitbcdadder

Description: bcd adder implemented in three models of vhdl
Platform: | Size: 59392 | Author: sathishkumar | Hits:

[VHDL-FPGA-VerilogBCD

Description: BCD\七段显示译码器 数码管段显示发光二级管是共阴连结,所以显示高电平有效,即哪一段的驱动信号为高电平,则对应段发亮-BCD \ seven-segment display decoder digital tube sections show light-emitting diode is a link to a total of yin, it showed high and effective, that is what section of the drive signal is high, then the corresponding segment bright
Platform: | Size: 17408 | Author: bryan | Hits:

[VHDL-FPGA-VerilogBCD8

Description: BCD码十进制8位加法器,采用超前进位的方法-8-bit decimal BCD adder yards, using look-ahead approach
Platform: | Size: 1024 | Author: 刘骁明 | Hits:

[VHDL-FPGA-Verilogbcdseg7

Description: bcd码的七段数码管显示vhdl程序 bcd码的七段数码管显示vhdl程序-bcd-yard seven-segment LED display vhdl program bcd-yard seven-segment LED display vhdl program
Platform: | Size: 253952 | Author: deyi | Hits:

[VHDL-FPGA-Verilogdigital-frequency

Description: 数字频率计 采用Verilog语言编写,分为8个模块,分别是计数器,门控,分频,寄存器,多路选择,动态位选择,BCD译码模块-Digital frequency meter using Verilog language, divided into eight modules, namely, the counter, gated, frequency, register, multiplexer, Dynamic Choice, BCD decoding module
Platform: | Size: 1265664 | Author: multidecoder | Hits:

[SCMbcd

Description: 在单片机开发环境中一般会用到二进制转bcd的功能。-binary to bcd,when you use binary you can make it bcd.
Platform: | Size: 3072 | Author: 朱维新 | Hits:

[SCMBintograyconverter

Description: Bin to gray converter Input (DATA_IN) width : 4 Enable (EN) active : high Bin to Bcd converter Input (data_in) width : 4 Output (data_out) width : 8 Enable (EN) active : high -Bin to gray converter -- Input (DATA_IN) width : 4 -- Enable (EN) active : high Bin to Bcd converter Input (data_in) width : 4 Output (data_out) width : 8 Enable (EN) active : high
Platform: | Size: 1024 | Author: haodiangei | Hits:

[Software Engineeringbai2

Description: excercises verilog add two bcd numbers
Platform: | Size: 2287616 | Author: atula136 | Hits:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »

CodeBus www.codebus.net